RUN: /usr/share/launchpad-buildd/slavebin/slave-prep Forking launchpad-buildd slave process... Kernel version: Linux lgw01-53 4.4.0-93-generic #116-Ubuntu SMP Fri Aug 11 21:17:51 UTC 2017 x86_64 Buildd toolchain package versions: launchpad-buildd_151 python-lpbuildd_151 sbuild_0.67.0-2ubuntu7.1 bzr-builder_0.7.3+bzr174~ppa13~ubuntu14.10.1 bzr_2.7.0-2ubuntu3.1 git-build-recipe_0.3.4~git201611291343.dcee459~ubuntu16.04.1 git_1:2.7.4-0ubuntu1.2 dpkg-dev_1.18.4ubuntu1.2 python-debian_0.1.27ubuntu2. Syncing the system clock with the buildd NTP service... 12 Sep 16:36:05 ntpdate[1776]: adjust time server 10.211.37.1 offset -0.019299 sec RUN: /usr/share/launchpad-buildd/slavebin/in-target unpack-chroot --backend=chroot --series=precise --arch=amd64 PACKAGEBUILD-13367011 /home/buildd/filecache-default/575690328fa1961d2fcee6c342de2e8e84a88778 Creating target for build PACKAGEBUILD-13367011 RUN: /usr/share/launchpad-buildd/slavebin/in-target mount-chroot --backend=chroot --series=precise --arch=amd64 PACKAGEBUILD-13367011 Starting target for build PACKAGEBUILD-13367011 RUN: /usr/share/launchpad-buildd/slavebin/in-target override-sources-list --backend=chroot --series=precise --arch=amd64 PACKAGEBUILD-13367011 'deb http://ppa.launchpad.net/jamey-hicks/connectal/ubuntu precise main' 'deb http://ftpmaster.internal/ubuntu precise main restricted universe multiverse' 'deb http://ftpmaster.internal/ubuntu precise-security main restricted universe multiverse' 'deb http://ftpmaster.internal/ubuntu precise-updates main restricted universe multiverse' Overriding sources.list in build-PACKAGEBUILD-13367011 RUN: /usr/share/launchpad-buildd/slavebin/in-target add-trusted-keys --backend=chroot --series=precise --arch=amd64 PACKAGEBUILD-13367011 Adding trusted keys to build-PACKAGEBUILD-13367011 OK /etc/apt/trusted.gpg -------------------- pub 1024D/437D05B5 2004-09-12 uid Ubuntu Archive Automatic Signing Key sub 2048g/79164387 2004-09-12 pub 1024D/FBB75451 2004-12-30 uid Ubuntu CD Image Automatic Signing Key pub 4096R/BC919D26 2014-10-21 uid Launchpad PPA for Jamey Hicks RUN: /usr/share/launchpad-buildd/slavebin/in-target update-debian-chroot --backend=chroot --series=precise --arch=amd64 PACKAGEBUILD-13367011 Updating target for build PACKAGEBUILD-13367011 Ign http://ftpmaster.internal precise InRelease Get:1 http://ftpmaster.internal precise-security InRelease [55.7 kB] Get:2 http://ppa.launchpad.net precise InRelease [13.8 kB] Get:3 http://ftpmaster.internal precise-updates InRelease [55.7 kB] Get:4 http://ftpmaster.internal precise Release.gpg [198 B] Get:5 http://ftpmaster.internal precise Release [49.6 kB] Get:6 http://ftpmaster.internal precise-security/main amd64 Packages [375 kB] Get:7 http://ppa.launchpad.net precise/main amd64 Packages [3086 B] Get:8 http://ppa.launchpad.net precise/main TranslationIndex [199 B] Get:9 http://ftpmaster.internal precise-security/restricted amd64 Packages [10.9 kB] Get:10 http://ftpmaster.internal precise-security/universe amd64 Packages [145 kB] Get:11 http://ftpmaster.internal precise-security/multiverse amd64 Packages [3367 B] Get:12 http://ftpmaster.internal precise-security/main TranslationIndex [208 B] Get:13 http://ftpmaster.internal precise-security/multiverse TranslationIndex [199 B] Get:14 http://ftpmaster.internal precise-security/restricted TranslationIndex [202 B] Get:15 http://ftpmaster.internal precise-security/universe TranslationIndex [205 B] Get:16 http://ftpmaster.internal precise-updates/main amd64 Packages [741 kB] Get:17 http://ftpmaster.internal precise-updates/restricted amd64 Packages [15.4 kB] Get:18 http://ftpmaster.internal precise-updates/universe amd64 Packages [288 kB] Get:19 http://ppa.launchpad.net precise/main Translation-en [1957 B] Get:20 http://ftpmaster.internal precise-updates/multiverse amd64 Packages [17.1 kB] Get:21 http://ftpmaster.internal precise-updates/main TranslationIndex [208 B] Get:22 http://ftpmaster.internal precise-updates/multiverse TranslationIndex [202 B] Get:23 http://ftpmaster.internal precise-updates/restricted TranslationIndex [202 B] Get:24 http://ftpmaster.internal precise-updates/universe TranslationIndex [205 B] Get:25 http://ftpmaster.internal precise/main amd64 Packages [1273 kB] Get:26 http://ftpmaster.internal precise/restricted amd64 Packages [8452 B] Get:27 http://ftpmaster.internal precise/universe amd64 Packages [4786 kB] Get:28 http://ftpmaster.internal precise/multiverse amd64 Packages [119 kB] Get:29 http://ftpmaster.internal precise/main TranslationIndex [3706 B] Get:30 http://ftpmaster.internal precise/multiverse TranslationIndex [2676 B] Get:31 http://ftpmaster.internal precise/restricted TranslationIndex [2596 B] Get:32 http://ftpmaster.internal precise/universe TranslationIndex [2922 B] Get:33 http://ftpmaster.internal precise-security/main Translation-en [188 kB] Get:34 http://ftpmaster.internal precise-security/multiverse Translation-en [1993 B] Get:35 http://ftpmaster.internal precise-security/restricted Translation-en [2802 B] Get:36 http://ftpmaster.internal precise-security/universe Translation-en [93.2 kB] Get:37 http://ftpmaster.internal precise-updates/main Translation-en [344 kB] Get:38 http://ftpmaster.internal precise-updates/multiverse Translation-en [10.1 kB] Get:39 http://ftpmaster.internal precise-updates/restricted Translation-en [3686 B] Get:40 http://ftpmaster.internal precise-updates/universe Translation-en [174 kB] Get:41 http://ftpmaster.internal precise/main Translation-en [726 kB] Get:42 http://ftpmaster.internal precise/multiverse Translation-en [93.4 kB] Get:43 http://ftpmaster.internal precise/restricted Translation-en [2395 B] Get:44 http://ftpmaster.internal precise/universe Translation-en [3341 kB] Fetched 13.0 MB in 5s (2319 kB/s) Reading package lists... Reading package lists... Building dependency tree... Reading state information... The following packages will be upgraded: apt apt-transport-https base-files bash binutils bsdutils build-essential busybox-initramfs ca-certificates coreutils cpio dpkg dpkg-dev e2fslibs e2fsprogs gnupg gpgv ifupdown initramfs-tools initramfs-tools-bin initscripts iproute libapt-pkg4.12 libasn1-8-heimdal libblkid1 libc-bin libc-dev-bin libc6 libc6-dev libcomerr2 libcurl3-gnutls libdbus-1-3 libdpkg-perl libdrm-intel1 libdrm-nouveau1a libdrm-radeon1 libdrm2 libelf1 libgcrypt11 libglib2.0-0 libgnutls26 libgssapi-krb5-2 libgssapi3-heimdal libhcrypto4-heimdal libheimbase1-heimdal libheimntlm0-heimdal libhx509-5-heimdal libidn11 libk5crypto3 libkrb5-26-heimdal libkrb5-3 libkrb5support0 libldap-2.4-2 liblockfile-bin liblockfile1 libmount1 libnih-dbus1 libnih1 libpam-modules libpam-modules-bin libpam-runtime libpam0g libpciaccess0 libpcre3 libplymouth2 libpng12-0 libroken18-heimdal libsasl2-2 libsqlite3-0 libss2 libssl1.0.0 libtasn1-3 libudev0 libuuid1 libwind0-heimdal linux-libc-dev login lsb-base make makedev mount mountall multiarch-support openssl optipng passwd patch perl perl-base perl-modules pkg-create-dbgsym plymouth procps python-minimal python2.7-minimal sysv-rc sysvinit-utils tar tzdata ubuntu-keyring udev upstart util-linux 103 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. Need to get 46.0 MB of archives. After this operation, 249 kB of additional disk space will be used. WARNING: The following packages cannot be authenticated! base-files bash dpkg coreutils sysv-rc plymouth libplymouth2 initramfs-tools-bin initramfs-tools mountall initscripts upstart libc-dev-bin libc6-dev libc-bin libc6 sysvinit-utils libdbus-1-3 libdrm2 libpciaccess0 libdrm-intel1 libdrm-nouveau1a libdrm-radeon1 libpcre3 libglib2.0-0 libudev0 busybox-initramfs cpio lsb-base tzdata util-linux procps udev libpng12-0 makedev libnih-dbus1 libnih1 mount libpam0g libpam-modules-bin libpam-modules passwd iproute ifupdown linux-libc-dev e2fslibs e2fsprogs login perl perl-base perl-modules tar bsdutils libssl1.0.0 python2.7-minimal python-minimal libapt-pkg4.12 ubuntu-keyring gpgv gnupg apt libuuid1 libblkid1 libcomerr2 libmount1 libss2 liblockfile-bin liblockfile1 libsqlite3-0 libelf1 libroken18-heimdal libasn1-8-heimdal libgcrypt11 libtasn1-3 libgnutls26 libk5crypto3 libgssapi-krb5-2 libkrb5-3 libkrb5support0 libidn11 libhcrypto4-heimdal libheimbase1-heimdal libwind0-heimdal libhx509-5-heimdal libkrb5-26-heimdal libheimntlm0-heimdal libgssapi3-heimdal libsasl2-2 libldap-2.4-2 openssl ca-certificates libcurl3-gnutls libpam-runtime multiarch-support apt-transport-https binutils make dpkg-dev libdpkg-perl patch build-essential optipng pkg-create-dbgsym Authentication warning overridden. Get:1 http://ftpmaster.internal/ubuntu/ precise-updates/main base-files amd64 6.5ubuntu6.8 [68.2 kB] Get:2 http://ftpmaster.internal/ubuntu/ precise-security/main bash amd64 4.2-2ubuntu2.6 [641 kB] Get:3 http://ftpmaster.internal/ubuntu/ precise-updates/main dpkg amd64 1.16.1.2ubuntu7.8 [1828 kB] Get:4 http://ftpmaster.internal/ubuntu/ precise-security/main coreutils amd64 8.13-3ubuntu3.3 [2234 kB] Get:5 http://ftpmaster.internal/ubuntu/ precise-updates/main sysv-rc all 2.88dsf-13.10ubuntu11.1 [44.6 kB] Get:6 http://ftpmaster.internal/ubuntu/ precise-updates/main plymouth amd64 0.8.2-2ubuntu31.1 [123 kB] Get:7 http://ftpmaster.internal/ubuntu/ precise-updates/main libplymouth2 amd64 0.8.2-2ubuntu31.1 [92.0 kB] Get:8 http://ftpmaster.internal/ubuntu/ precise-security/main initramfs-tools-bin amd64 0.99ubuntu13.5 [9782 B] Get:9 http://ftpmaster.internal/ubuntu/ precise-security/main initramfs-tools all 0.99ubuntu13.5 [49.0 kB] Get:10 http://ftpmaster.internal/ubuntu/ precise-updates/main mountall amd64 2.36.4ubuntu0.1 [67.5 kB] Get:11 http://ftpmaster.internal/ubuntu/ precise-updates/main initscripts amd64 2.88dsf-13.10ubuntu11.1 [28.1 kB] Get:12 http://ftpmaster.internal/ubuntu/ precise-updates/main upstart amd64 1.5-0ubuntu7.3 [309 kB] Get:13 http://ftpmaster.internal/ubuntu/ precise-security/main libc-dev-bin amd64 2.15-0ubuntu10.18 [84.5 kB] Get:14 http://ftpmaster.internal/ubuntu/ precise-security/main libc6-dev amd64 2.15-0ubuntu10.18 [2948 kB] Get:15 http://ftpmaster.internal/ubuntu/ precise-security/main libc-bin amd64 2.15-0ubuntu10.18 [1178 kB] Get:16 http://ftpmaster.internal/ubuntu/ precise-security/main libc6 amd64 2.15-0ubuntu10.18 [4646 kB] Get:17 http://ftpmaster.internal/ubuntu/ precise-updates/main sysvinit-utils amd64 2.88dsf-13.10ubuntu11.1 [60.2 kB] Get:18 http://ftpmaster.internal/ubuntu/ precise-security/main libdbus-1-3 amd64 1.4.18-1ubuntu1.8 [146 kB] Get:19 http://ftpmaster.internal/ubuntu/ precise-security/main libdrm2 amd64 2.4.52-1~precise2 [26.1 kB] Get:20 http://ftpmaster.internal/ubuntu/ precise-updates/main libpciaccess0 amd64 0.12.902-1ubuntu0.2 [20.8 kB] Get:21 http://ftpmaster.internal/ubuntu/ precise-security/main libdrm-intel1 amd64 2.4.52-1~precise2 [65.6 kB] Get:22 http://ftpmaster.internal/ubuntu/ precise-security/main libdrm-nouveau1a amd64 2.4.52-1~precise2 [14.0 kB] Get:23 http://ftpmaster.internal/ubuntu/ precise-security/main libdrm-radeon1 amd64 2.4.52-1~precise2 [27.8 kB] Get:24 http://ftpmaster.internal/ubuntu/ precise-security/main libpcre3 amd64 8.12-4ubuntu0.2 [149 kB] Get:25 http://ftpmaster.internal/ubuntu/ precise-updates/main libglib2.0-0 amd64 2.32.4-0ubuntu1 [1200 kB] Get:26 http://ftpmaster.internal/ubuntu/ precise-updates/main libudev0 amd64 175-0ubuntu9.10 [27.8 kB] Get:27 http://ftpmaster.internal/ubuntu/ precise-updates/main busybox-initramfs amd64 1:1.18.5-1ubuntu4.1 [183 kB] Get:28 http://ftpmaster.internal/ubuntu/ precise-security/main cpio amd64 2.11-7ubuntu3.2 [116 kB] Get:29 http://ftpmaster.internal/ubuntu/ precise-updates/main lsb-base all 4.0-0ubuntu20.3 [10.5 kB] Get:30 http://ftpmaster.internal/ubuntu/ precise-security/main tzdata all 2016j-0ubuntu0.12.04 [434 kB] Get:31 http://ftpmaster.internal/ubuntu/ precise-updates/main util-linux amd64 2.20.1-1ubuntu3.1 [596 kB] Get:32 http://ftpmaster.internal/ubuntu/ precise-updates/main procps amd64 1:3.2.8-11ubuntu6.4 [233 kB] Get:33 http://ftpmaster.internal/ubuntu/ precise-updates/main udev amd64 175-0ubuntu9.10 [324 kB] Get:34 http://ftpmaster.internal/ubuntu/ precise-security/main libpng12-0 amd64 1.2.46-3ubuntu4.2 [133 kB] Get:35 http://ftpmaster.internal/ubuntu/ precise-updates/main makedev all 2.3.1-89ubuntu3 [27.7 kB] Get:36 http://ftpmaster.internal/ubuntu/ precise-updates/main libnih-dbus1 amd64 1.0.3-4ubuntu9.1 [16.0 kB] Get:37 http://ftpmaster.internal/ubuntu/ precise-updates/main libnih1 amd64 1.0.3-4ubuntu9.1 [54.8 kB] Get:38 http://ftpmaster.internal/ubuntu/ precise-updates/main mount amd64 2.20.1-1ubuntu3.1 [166 kB] Get:39 http://ftpmaster.internal/ubuntu/ precise-security/main libpam0g amd64 1.1.3-7ubuntu2.3 [61.8 kB] Get:40 http://ftpmaster.internal/ubuntu/ precise-security/main libpam-modules-bin amd64 1.1.3-7ubuntu2.3 [42.4 kB] Get:41 http://ftpmaster.internal/ubuntu/ precise-security/main libpam-modules amd64 1.1.3-7ubuntu2.3 [276 kB] Get:42 http://ftpmaster.internal/ubuntu/ precise-updates/main passwd amd64 1:4.1.4.2+svn3283-3ubuntu5.1 [959 kB] Get:43 http://ftpmaster.internal/ubuntu/ precise-updates/main iproute amd64 20111117-1ubuntu2.3 [444 kB] Get:44 http://ftpmaster.internal/ubuntu/ precise-updates/main ifupdown amd64 0.7~beta2ubuntu11.1 [48.3 kB] Get:45 http://ftpmaster.internal/ubuntu/ precise-security/main linux-libc-dev amd64 3.2.0-126.169 [848 kB] Get:46 http://ftpmaster.internal/ubuntu/ precise-updates/main e2fslibs amd64 1.42-1ubuntu2.3 [188 kB] Get:47 http://ftpmaster.internal/ubuntu/ precise-updates/main e2fsprogs amd64 1.42-1ubuntu2.3 [965 kB] Get:48 http://ftpmaster.internal/ubuntu/ precise-updates/main login amd64 1:4.1.4.2+svn3283-3ubuntu5.1 [291 kB] Get:49 http://ftpmaster.internal/ubuntu/ precise-security/main perl amd64 5.14.2-6ubuntu2.5 [4412 kB] Get:50 http://ftpmaster.internal/ubuntu/ precise-security/main perl-base amd64 5.14.2-6ubuntu2.5 [1513 kB] Get:51 http://ftpmaster.internal/ubuntu/ precise-security/main perl-modules all 5.14.2-6ubuntu2.5 [3397 kB] Get:52 http://ftpmaster.internal/ubuntu/ precise-security/main tar amd64 1.26-4ubuntu1.1 [218 kB] Get:53 http://ftpmaster.internal/ubuntu/ precise-updates/main bsdutils amd64 1:2.20.1-1ubuntu3.1 [39.7 kB] Get:54 http://ftpmaster.internal/ubuntu/ precise-security/main libssl1.0.0 amd64 1.0.1-4ubuntu5.39 [1054 kB] Get:55 http://ftpmaster.internal/ubuntu/ precise-security/main python2.7-minimal amd64 2.7.3-0ubuntu3.9 [1745 kB] Get:56 http://ftpmaster.internal/ubuntu/ precise-updates/main python-minimal amd64 2.7.3-0ubuntu2.2 [29.7 kB] Get:57 http://ftpmaster.internal/ubuntu/ precise-updates/main libapt-pkg4.12 amd64 0.8.16~exp12ubuntu10.27 [934 kB] Get:58 http://ftpmaster.internal/ubuntu/ precise-updates/main ubuntu-keyring all 2011.11.21.1 [16.7 kB] Get:59 http://ftpmaster.internal/ubuntu/ precise-security/main gpgv amd64 1.4.11-3ubuntu2.10 [186 kB] Get:60 http://ftpmaster.internal/ubuntu/ precise-security/main gnupg amd64 1.4.11-3ubuntu2.10 [809 kB] Get:61 http://ftpmaster.internal/ubuntu/ precise-updates/main apt amd64 0.8.16~exp12ubuntu10.27 [1098 kB] Get:62 http://ftpmaster.internal/ubuntu/ precise-updates/main libuuid1 amd64 2.20.1-1ubuntu3.1 [12.8 kB] Get:63 http://ftpmaster.internal/ubuntu/ precise-updates/main libblkid1 amd64 2.20.1-1ubuntu3.1 [73.7 kB] Get:64 http://ftpmaster.internal/ubuntu/ precise-updates/main libcomerr2 amd64 1.42-1ubuntu2.3 [57.2 kB] Get:65 http://ftpmaster.internal/ubuntu/ precise-updates/main libmount1 amd64 2.20.1-1ubuntu3.1 [71.5 kB] Get:66 http://ftpmaster.internal/ubuntu/ precise-updates/main libss2 amd64 1.42-1ubuntu2.3 [62.3 kB] Get:67 http://ftpmaster.internal/ubuntu/ precise-updates/main liblockfile-bin amd64 1.09-3ubuntu0.1 [12.3 kB] Get:68 http://ftpmaster.internal/ubuntu/ precise-updates/main liblockfile1 amd64 1.09-3ubuntu0.1 [9232 B] Get:69 http://ftpmaster.internal/ubuntu/ precise-security/main libsqlite3-0 amd64 3.7.9-2ubuntu1.2 [349 kB] Get:70 http://ftpmaster.internal/ubuntu/ precise-security/main libelf1 amd64 0.152-1ubuntu3.1 [42.8 kB] Get:71 http://ftpmaster.internal/ubuntu/ precise-updates/main libroken18-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [46.0 kB] Get:72 http://ftpmaster.internal/ubuntu/ precise-updates/main libasn1-8-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [220 kB] Get:73 http://ftpmaster.internal/ubuntu/ precise-security/main libgcrypt11 amd64 1.5.0-3ubuntu0.6 [282 kB] Get:74 http://ftpmaster.internal/ubuntu/ precise-security/main libtasn1-3 amd64 2.10-1ubuntu1.5 [43.6 kB] Get:75 http://ftpmaster.internal/ubuntu/ precise-security/main libgnutls26 amd64 2.12.14-5ubuntu3.14 [461 kB] Get:76 http://ftpmaster.internal/ubuntu/ precise-security/main libk5crypto3 amd64 1.10+dfsg~beta1-2ubuntu0.7 [80.1 kB] Get:77 http://ftpmaster.internal/ubuntu/ precise-security/main libgssapi-krb5-2 amd64 1.10+dfsg~beta1-2ubuntu0.7 [119 kB] Get:78 http://ftpmaster.internal/ubuntu/ precise-security/main libkrb5-3 amd64 1.10+dfsg~beta1-2ubuntu0.7 [355 kB] Get:79 http://ftpmaster.internal/ubuntu/ precise-security/main libkrb5support0 amd64 1.10+dfsg~beta1-2ubuntu0.7 [24.9 kB] Get:80 http://ftpmaster.internal/ubuntu/ precise-security/main libidn11 amd64 1.23-2ubuntu0.1 [112 kB] Get:81 http://ftpmaster.internal/ubuntu/ precise-updates/main libhcrypto4-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [103 kB] Get:82 http://ftpmaster.internal/ubuntu/ precise-updates/main libheimbase1-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [33.1 kB] Get:83 http://ftpmaster.internal/ubuntu/ precise-updates/main libwind0-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [77.8 kB] Get:84 http://ftpmaster.internal/ubuntu/ precise-updates/main libhx509-5-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [125 kB] Get:85 http://ftpmaster.internal/ubuntu/ precise-updates/main libkrb5-26-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [234 kB] Get:86 http://ftpmaster.internal/ubuntu/ precise-updates/main libheimntlm0-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [16.0 kB] Get:87 http://ftpmaster.internal/ubuntu/ precise-updates/main libgssapi3-heimdal amd64 1.6~git20120311.dfsg.1-2ubuntu0.1 [108 kB] Get:88 http://ftpmaster.internal/ubuntu/ precise-updates/main libsasl2-2 amd64 2.1.25.dfsg1-3ubuntu0.1 [69.1 kB] Get:89 http://ftpmaster.internal/ubuntu/ precise-security/main libldap-2.4-2 amd64 2.4.28-1.1ubuntu4.6 [185 kB] Get:90 http://ftpmaster.internal/ubuntu/ precise-security/main openssl amd64 1.0.1-4ubuntu5.39 [524 kB] Get:91 http://ftpmaster.internal/ubuntu/ precise-security/main ca-certificates all 20160104ubuntu0.12.04.1 [208 kB] Get:92 http://ftpmaster.internal/ubuntu/ precise-security/main libcurl3-gnutls amd64 7.22.0-3ubuntu4.17 [228 kB] Get:93 http://ftpmaster.internal/ubuntu/ precise-security/main libpam-runtime all 1.1.3-7ubuntu2.3 [40.6 kB] Get:94 http://ftpmaster.internal/ubuntu/ precise-security/main multiarch-support amd64 2.15-0ubuntu10.18 [4482 B] Get:95 http://ftpmaster.internal/ubuntu/ precise-updates/main apt-transport-https amd64 0.8.16~exp12ubuntu10.27 [16.4 kB] Get:96 http://ftpmaster.internal/ubuntu/ precise-security/main binutils amd64 2.22-6ubuntu1.4 [2653 kB] Get:97 http://ftpmaster.internal/ubuntu/ precise-updates/main make amd64 3.81-8.1ubuntu1.1 [119 kB] Get:98 http://ftpmaster.internal/ubuntu/ precise-updates/main dpkg-dev all 1.16.1.2ubuntu7.8 [468 kB] Get:99 http://ftpmaster.internal/ubuntu/ precise-updates/main libdpkg-perl all 1.16.1.2ubuntu7.8 [181 kB] Get:100 http://ftpmaster.internal/ubuntu/ precise-security/main patch amd64 2.6.1-3ubuntu0.1 [80.0 kB] Get:101 http://ftpmaster.internal/ubuntu/ precise-updates/main build-essential amd64 11.5ubuntu2.1 [5816 B] Get:102 http://ftpmaster.internal/ubuntu/ precise-security/main optipng amd64 0.6.4-1ubuntu0.12.04.1 [89.2 kB] Get:103 http://ftpmaster.internal/ubuntu/ precise-security/main pkg-create-dbgsym all 0.50.2 [9520 B] debconf: delaying package configuration, since apt-utils is not installed Fetched 46.0 MB in 11s (4172 kB/s) (Reading database ... 12243 files and directories currently installed.) Preparing to replace base-files 6.5ubuntu6 (using .../base-files_6.5ubuntu6.8_amd64.deb) ... Unpacking replacement base-files ... Setting up base-files (6.5ubuntu6.8) ... Installing new version of config file /etc/issue ... Installing new version of config file /etc/issue.net ... Installing new version of config file /etc/lsb-release ... (Reading database ... 12244 files and directories currently installed.) Preparing to replace bash 4.2-2ubuntu2 (using .../bash_4.2-2ubuntu2.6_amd64.deb) ... Unpacking replacement bash ... Setting up bash (4.2-2ubuntu2.6) ... update-alternatives: using /usr/share/man/man7/bash-builtins.7.gz to provide /usr/share/man/man7/builtins.7.gz (builtins.7.gz) in auto mode. (Reading database ... 12244 files and directories currently installed.) Preparing to replace dpkg 1.16.1.2ubuntu7 (using .../dpkg_1.16.1.2ubuntu7.8_amd64.deb) ... Unpacking replacement dpkg ... Setting up dpkg (1.16.1.2ubuntu7.8) ... (Reading database ... 12245 files and directories currently installed.) Preparing to replace coreutils 8.13-3ubuntu3 (using .../coreutils_8.13-3ubuntu3.3_amd64.deb) ... Unpacking replacement coreutils ... Setting up coreutils (8.13-3ubuntu3.3) ... (Reading database ... 12245 files and directories currently installed.) Preparing to replace sysv-rc 2.88dsf-13.10ubuntu11 (using .../sysv-rc_2.88dsf-13.10ubuntu11.1_all.deb) ... Unpacking replacement sysv-rc ... Preparing to replace plymouth 0.8.2-2ubuntu30 (using .../plymouth_0.8.2-2ubuntu31.1_amd64.deb) ... Unpacking replacement plymouth ... Preparing to replace libplymouth2 0.8.2-2ubuntu30 (using .../libplymouth2_0.8.2-2ubuntu31.1_amd64.deb) ... Unpacking replacement libplymouth2 ... Preparing to replace initramfs-tools-bin 0.99ubuntu13 (using .../initramfs-tools-bin_0.99ubuntu13.5_amd64.deb) ... Unpacking replacement initramfs-tools-bin ... Preparing to replace initramfs-tools 0.99ubuntu13 (using .../initramfs-tools_0.99ubuntu13.5_all.deb) ... Unpacking replacement initramfs-tools ... Preparing to replace mountall 2.36 (using .../mountall_2.36.4ubuntu0.1_amd64.deb) ... Unpacking replacement mountall ... Preparing to replace initscripts 2.88dsf-13.10ubuntu11 (using .../initscripts_2.88dsf-13.10ubuntu11.1_amd64.deb) ... Unpacking replacement initscripts ... Preparing to replace upstart 1.5-0ubuntu5 (using .../upstart_1.5-0ubuntu7.3_amd64.deb) ... Unpacking replacement upstart ... Preparing to replace libc-dev-bin 2.15-0ubuntu10 (using .../libc-dev-bin_2.15-0ubuntu10.18_amd64.deb) ... Unpacking replacement libc-dev-bin ... Preparing to replace libc6-dev 2.15-0ubuntu10 (using .../libc6-dev_2.15-0ubuntu10.18_amd64.deb) ... Unpacking replacement libc6-dev ... Preparing to replace libc-bin 2.15-0ubuntu10 (using .../libc-bin_2.15-0ubuntu10.18_amd64.deb) ... Unpacking replacement libc-bin ... Setting up libc-bin (2.15-0ubuntu10.18) ... (Reading database ... 12245 files and directories currently installed.) Preparing to replace libc6 2.15-0ubuntu10 (using .../libc6_2.15-0ubuntu10.18_amd64.deb) ... Unpacking replacement libc6 ... Setting up libc6 (2.15-0ubuntu10.18) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12245 files and directories currently installed.) Preparing to replace sysvinit-utils 2.88dsf-13.10ubuntu11 (using .../sysvinit-utils_2.88dsf-13.10ubuntu11.1_amd64.deb) ... Unpacking replacement sysvinit-utils ... Preparing to replace libdbus-1-3 1.4.18-1ubuntu1 (using .../libdbus-1-3_1.4.18-1ubuntu1.8_amd64.deb) ... Unpacking replacement libdbus-1-3 ... Preparing to replace libdrm2 2.4.32-1ubuntu1 (using .../libdrm2_2.4.52-1~precise2_amd64.deb) ... Unpacking replacement libdrm2 ... Preparing to replace libpciaccess0 0.12.902-1 (using .../libpciaccess0_0.12.902-1ubuntu0.2_amd64.deb) ... Unpacking replacement libpciaccess0 ... Preparing to replace libdrm-intel1 2.4.32-1ubuntu1 (using .../libdrm-intel1_2.4.52-1~precise2_amd64.deb) ... Unpacking replacement libdrm-intel1 ... Preparing to replace libdrm-nouveau1a 2.4.32-1ubuntu1 (using .../libdrm-nouveau1a_2.4.52-1~precise2_amd64.deb) ... Unpacking replacement libdrm-nouveau1a ... Preparing to replace libdrm-radeon1 2.4.32-1ubuntu1 (using .../libdrm-radeon1_2.4.52-1~precise2_amd64.deb) ... Unpacking replacement libdrm-radeon1 ... Preparing to replace libpcre3 8.12-4 (using .../libpcre3_8.12-4ubuntu0.2_amd64.deb) ... Unpacking replacement libpcre3 ... Preparing to replace libglib2.0-0 2.32.1-0ubuntu2 (using .../libglib2.0-0_2.32.4-0ubuntu1_amd64.deb) ... Unpacking replacement libglib2.0-0 ... Preparing to replace libudev0 175-0ubuntu9 (using .../libudev0_175-0ubuntu9.10_amd64.deb) ... Unpacking replacement libudev0 ... Preparing to replace busybox-initramfs 1:1.18.5-1ubuntu4 (using .../busybox-initramfs_1%3a1.18.5-1ubuntu4.1_amd64.deb) ... Unpacking replacement busybox-initramfs ... Preparing to replace cpio 2.11-7ubuntu3 (using .../cpio_2.11-7ubuntu3.2_amd64.deb) ... Unpacking replacement cpio ... Preparing to replace lsb-base 4.0-0ubuntu20 (using .../lsb-base_4.0-0ubuntu20.3_all.deb) ... Unpacking replacement lsb-base ... Setting up lsb-base (4.0-0ubuntu20.3) ... (Reading database ... 12245 files and directories currently installed.) Preparing to replace tzdata 2012b-1 (using .../tzdata_2016j-0ubuntu0.12.04_all.deb) ... Unpacking replacement tzdata ... Setting up tzdata (2016j-0ubuntu0.12.04) ... Current default time zone: 'Etc/UTC' Local time is now: Tue Sep 12 16:36:40 UTC 2017. Universal Time is now: Tue Sep 12 16:36:40 UTC 2017. Run 'dpkg-reconfigure tzdata' if you wish to change it. (Reading database ... 12276 files and directories currently installed.) Preparing to replace util-linux 2.20.1-1ubuntu3 (using .../util-linux_2.20.1-1ubuntu3.1_amd64.deb) ... Unpacking replacement util-linux ... Setting up libdbus-1-3 (1.4.18-1ubuntu1.8) ... Setting up libudev0 (175-0ubuntu9.10) ... Setting up sysvinit-utils (2.88dsf-13.10ubuntu11.1) ... Setting up sysv-rc (2.88dsf-13.10ubuntu11.1) ... Setting up libdrm2 (2.4.52-1~precise2) ... Setting up libpciaccess0 (0.12.902-1ubuntu0.2) ... Setting up libdrm-intel1 (2.4.52-1~precise2) ... Setting up libdrm-nouveau1a (2.4.52-1~precise2) ... Setting up libdrm-radeon1 (2.4.52-1~precise2) ... Setting up libplymouth2 (0.8.2-2ubuntu31.1) ... Setting up initramfs-tools-bin (0.99ubuntu13.5) ... Setting up busybox-initramfs (1:1.18.5-1ubuntu4.1) ... Setting up cpio (2.11-7ubuntu3.2) ... Setting up upstart (1.5-0ubuntu7.3) ... Installing new version of config file /etc/logrotate.d/upstart ... Setting up util-linux (2.20.1-1ubuntu3.1) ... Setting up initramfs-tools (0.99ubuntu13.5) ... update-initramfs: deferring update (trigger activated) Setting up mountall (2.36.4ubuntu0.1) ... Installing new version of config file /etc/init/mounted-dev.conf ... Setting up initscripts (2.88dsf-13.10ubuntu11.1) ... Setting up plymouth (0.8.2-2ubuntu31.1) ... update-initramfs: deferring update (trigger activated) Processing triggers for libc-bin ... ldconfig deferred processing now taking place Processing triggers for initramfs-tools ... (Reading database ... 12276 files and directories currently installed.) Preparing to replace procps 1:3.2.8-11ubuntu6 (using .../procps_1%3a3.2.8-11ubuntu6.4_amd64.deb) ... invoke-rc.d: policy-rc.d denied execution of stop. Unpacking replacement procps ... Preparing to replace udev 175-0ubuntu9 (using .../udev_175-0ubuntu9.10_amd64.deb) ... Adding 'diversion of /sbin/udevadm to /sbin/udevadm.upgrade by fake-udev' Unpacking replacement udev ... Preparing to replace libpng12-0 1.2.46-3ubuntu4 (using .../libpng12-0_1.2.46-3ubuntu4.2_amd64.deb) ... Unpacking replacement libpng12-0 ... Preparing to replace makedev 2.3.1-89ubuntu2 (using .../makedev_2.3.1-89ubuntu3_all.deb) ... Unpacking replacement makedev ... Preparing to replace libnih-dbus1 1.0.3-4ubuntu9 (using .../libnih-dbus1_1.0.3-4ubuntu9.1_amd64.deb) ... Unpacking replacement libnih-dbus1 ... Preparing to replace libnih1 1.0.3-4ubuntu9 (using .../libnih1_1.0.3-4ubuntu9.1_amd64.deb) ... Unpacking replacement libnih1 ... Preparing to replace mount 2.20.1-1ubuntu3 (using .../mount_2.20.1-1ubuntu3.1_amd64.deb) ... Unpacking replacement mount ... Setting up mount (2.20.1-1ubuntu3.1) ... (Reading database ... 12284 files and directories currently installed.) Preparing to replace libpam0g 1.1.3-7ubuntu2 (using .../libpam0g_1.1.3-7ubuntu2.3_amd64.deb) ... Unpacking replacement libpam0g ... Setting up libpam0g (1.1.3-7ubuntu2.3) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12284 files and directories currently installed.) Preparing to replace libpam-modules-bin 1.1.3-7ubuntu2 (using .../libpam-modules-bin_1.1.3-7ubuntu2.3_amd64.deb) ... Unpacking replacement libpam-modules-bin ... Setting up libpam-modules-bin (1.1.3-7ubuntu2.3) ... (Reading database ... 12284 files and directories currently installed.) Preparing to replace libpam-modules 1.1.3-7ubuntu2 (using .../libpam-modules_1.1.3-7ubuntu2.3_amd64.deb) ... Unpacking replacement libpam-modules ... Setting up libpam-modules (1.1.3-7ubuntu2.3) ... (Reading database ... 12284 files and directories currently installed.) Preparing to replace passwd 1:4.1.4.2+svn3283-3ubuntu5 (using .../passwd_1%3a4.1.4.2+svn3283-3ubuntu5.1_amd64.deb) ... Unpacking replacement passwd ... Setting up passwd (1:4.1.4.2+svn3283-3ubuntu5.1) ... (Reading database ... 12286 files and directories currently installed.) Preparing to replace iproute 20111117-1ubuntu2 (using .../iproute_20111117-1ubuntu2.3_amd64.deb) ... Unpacking replacement iproute ... Preparing to replace ifupdown 0.7~beta2ubuntu8 (using .../ifupdown_0.7~beta2ubuntu11.1_amd64.deb) ... Unpacking replacement ifupdown ... Preparing to replace linux-libc-dev 3.2.0-23.36 (using .../linux-libc-dev_3.2.0-126.169_amd64.deb) ... Unpacking replacement linux-libc-dev ... Preparing to replace e2fslibs 1.42-1ubuntu2 (using .../e2fslibs_1.42-1ubuntu2.3_amd64.deb) ... Unpacking replacement e2fslibs ... Setting up e2fslibs (1.42-1ubuntu2.3) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12290 files and directories currently installed.) Preparing to replace e2fsprogs 1.42-1ubuntu2 (using .../e2fsprogs_1.42-1ubuntu2.3_amd64.deb) ... Unpacking replacement e2fsprogs ... Setting up e2fsprogs (1.42-1ubuntu2.3) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace login 1:4.1.4.2+svn3283-3ubuntu5 (using .../login_1%3a4.1.4.2+svn3283-3ubuntu5.1_amd64.deb) ... Unpacking replacement login ... Setting up login (1:4.1.4.2+svn3283-3ubuntu5.1) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace perl 5.14.2-6ubuntu2 (using .../perl_5.14.2-6ubuntu2.5_amd64.deb) ... Unpacking replacement perl ... Preparing to replace perl-base 5.14.2-6ubuntu2 (using .../perl-base_5.14.2-6ubuntu2.5_amd64.deb) ... Unpacking replacement perl-base ... Setting up perl-base (5.14.2-6ubuntu2.5) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace perl-modules 5.14.2-6ubuntu2 (using .../perl-modules_5.14.2-6ubuntu2.5_all.deb) ... Unpacking replacement perl-modules ... Preparing to replace tar 1.26-4ubuntu1 (using .../tar_1.26-4ubuntu1.1_amd64.deb) ... Unpacking replacement tar ... Setting up tar (1.26-4ubuntu1.1) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace bsdutils 1:2.20.1-1ubuntu3 (using .../bsdutils_1%3a2.20.1-1ubuntu3.1_amd64.deb) ... Unpacking replacement bsdutils ... Setting up bsdutils (1:2.20.1-1ubuntu3.1) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace libssl1.0.0 1.0.1-4ubuntu3 (using .../libssl1.0.0_1.0.1-4ubuntu5.39_amd64.deb) ... Unpacking replacement libssl1.0.0 ... Setting up libssl1.0.0 (1.0.1-4ubuntu5.39) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12290 files and directories currently installed.) Preparing to replace python2.7-minimal 2.7.3-0ubuntu3 (using .../python2.7-minimal_2.7.3-0ubuntu3.9_amd64.deb) ... Unpacking replacement python2.7-minimal ... Setting up python2.7-minimal (2.7.3-0ubuntu3.9) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace python-minimal 2.7.3-0ubuntu2 (using .../python-minimal_2.7.3-0ubuntu2.2_amd64.deb) ... Unpacking replacement python-minimal ... Setting up python-minimal (2.7.3-0ubuntu2.2) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace libapt-pkg4.12 0.8.16~exp12ubuntu10 (using .../libapt-pkg4.12_0.8.16~exp12ubuntu10.27_amd64.deb) ... Unpacking replacement libapt-pkg4.12 ... Setting up libapt-pkg4.12 (0.8.16~exp12ubuntu10.27) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12290 files and directories currently installed.) Preparing to replace ubuntu-keyring 2011.11.21 (using .../ubuntu-keyring_2011.11.21.1_all.deb) ... Unpacking replacement ubuntu-keyring ... Setting up ubuntu-keyring (2011.11.21.1) ... gpg: key 437D05B5: "Ubuntu Archive Automatic Signing Key " not changed gpg: key FBB75451: "Ubuntu CD Image Automatic Signing Key " not changed gpg: key C0B21F32: public key "Ubuntu Archive Automatic Signing Key (2012) " imported gpg: key EFE21092: public key "Ubuntu CD Image Automatic Signing Key (2012) " imported gpg: Total number processed: 4 gpg: imported: 2 (RSA: 2) gpg: unchanged: 2 gpg: no ultimately trusted keys found (Reading database ... 12290 files and directories currently installed.) Preparing to replace gpgv 1.4.11-3ubuntu2 (using .../gpgv_1.4.11-3ubuntu2.10_amd64.deb) ... Unpacking replacement gpgv ... Setting up gpgv (1.4.11-3ubuntu2.10) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace gnupg 1.4.11-3ubuntu2 (using .../gnupg_1.4.11-3ubuntu2.10_amd64.deb) ... Unpacking replacement gnupg ... Setting up gnupg (1.4.11-3ubuntu2.10) ... (Reading database ... 12290 files and directories currently installed.) Preparing to replace apt 0.8.16~exp12ubuntu10 (using .../apt_0.8.16~exp12ubuntu10.27_amd64.deb) ... Unpacking replacement apt ... Setting up apt (0.8.16~exp12ubuntu10.27) ... Installing new version of config file /etc/apt/apt.conf.d/01autoremove ... gpg: key 437D05B5: "Ubuntu Archive Automatic Signing Key " not changed gpg: key FBB75451: "Ubuntu CD Image Automatic Signing Key " not changed gpg: key C0B21F32: "Ubuntu Archive Automatic Signing Key (2012) " not changed gpg: key EFE21092: "Ubuntu CD Image Automatic Signing Key (2012) " not changed gpg: Total number processed: 4 gpg: unchanged: 4 No packages found matching linux-image-[0-9]*. (Reading database ... 12291 files and directories currently installed.) Preparing to replace libuuid1 2.20.1-1ubuntu3 (using .../libuuid1_2.20.1-1ubuntu3.1_amd64.deb) ... Unpacking replacement libuuid1 ... Setting up libuuid1 (2.20.1-1ubuntu3.1) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12291 files and directories currently installed.) Preparing to replace libblkid1 2.20.1-1ubuntu3 (using .../libblkid1_2.20.1-1ubuntu3.1_amd64.deb) ... Unpacking replacement libblkid1 ... Setting up libblkid1 (2.20.1-1ubuntu3.1) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12291 files and directories currently installed.) Preparing to replace libcomerr2 1.42-1ubuntu2 (using .../libcomerr2_1.42-1ubuntu2.3_amd64.deb) ... Unpacking replacement libcomerr2 ... Setting up libcomerr2 (1.42-1ubuntu2.3) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12291 files and directories currently installed.) Preparing to replace libmount1 2.20.1-1ubuntu3 (using .../libmount1_2.20.1-1ubuntu3.1_amd64.deb) ... Unpacking replacement libmount1 ... Setting up libmount1 (2.20.1-1ubuntu3.1) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12291 files and directories currently installed.) Preparing to replace libss2 1.42-1ubuntu2 (using .../libss2_1.42-1ubuntu2.3_amd64.deb) ... Unpacking replacement libss2 ... Setting up libss2 (1.42-1ubuntu2.3) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place (Reading database ... 12291 files and directories currently installed.) Preparing to replace liblockfile-bin 1.09-3 (using .../liblockfile-bin_1.09-3ubuntu0.1_amd64.deb) ... Unpacking replacement liblockfile-bin ... Preparing to replace liblockfile1 1.09-3 (using .../liblockfile1_1.09-3ubuntu0.1_amd64.deb) ... Unpacking replacement liblockfile1 ... Preparing to replace libsqlite3-0 3.7.9-2ubuntu1 (using .../libsqlite3-0_3.7.9-2ubuntu1.2_amd64.deb) ... Unpacking replacement libsqlite3-0 ... Preparing to replace libelf1 0.152-1ubuntu3 (using .../libelf1_0.152-1ubuntu3.1_amd64.deb) ... Unpacking replacement libelf1 ... Preparing to replace libroken18-heimdal 1.6~git20120311.dfsg.1-2 (using .../libroken18-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libroken18-heimdal ... Preparing to replace libasn1-8-heimdal 1.6~git20120311.dfsg.1-2 (using .../libasn1-8-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libasn1-8-heimdal ... Preparing to replace libgcrypt11 1.5.0-3 (using .../libgcrypt11_1.5.0-3ubuntu0.6_amd64.deb) ... Unpacking replacement libgcrypt11 ... Preparing to replace libtasn1-3 2.10-1ubuntu1 (using .../libtasn1-3_2.10-1ubuntu1.5_amd64.deb) ... Unpacking replacement libtasn1-3 ... Preparing to replace libgnutls26 2.12.14-5ubuntu3 (using .../libgnutls26_2.12.14-5ubuntu3.14_amd64.deb) ... Unpacking replacement libgnutls26 ... Preparing to replace libk5crypto3 1.10+dfsg~beta1-2 (using .../libk5crypto3_1.10+dfsg~beta1-2ubuntu0.7_amd64.deb) ... Unpacking replacement libk5crypto3 ... Preparing to replace libgssapi-krb5-2 1.10+dfsg~beta1-2 (using .../libgssapi-krb5-2_1.10+dfsg~beta1-2ubuntu0.7_amd64.deb) ... Unpacking replacement libgssapi-krb5-2 ... Preparing to replace libkrb5-3 1.10+dfsg~beta1-2 (using .../libkrb5-3_1.10+dfsg~beta1-2ubuntu0.7_amd64.deb) ... Unpacking replacement libkrb5-3 ... Preparing to replace libkrb5support0 1.10+dfsg~beta1-2 (using .../libkrb5support0_1.10+dfsg~beta1-2ubuntu0.7_amd64.deb) ... Unpacking replacement libkrb5support0 ... Preparing to replace libidn11 1.23-2 (using .../libidn11_1.23-2ubuntu0.1_amd64.deb) ... Unpacking replacement libidn11 ... Preparing to replace libhcrypto4-heimdal 1.6~git20120311.dfsg.1-2 (using .../libhcrypto4-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libhcrypto4-heimdal ... Preparing to replace libheimbase1-heimdal 1.6~git20120311.dfsg.1-2 (using .../libheimbase1-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libheimbase1-heimdal ... Preparing to replace libwind0-heimdal 1.6~git20120311.dfsg.1-2 (using .../libwind0-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libwind0-heimdal ... Preparing to replace libhx509-5-heimdal 1.6~git20120311.dfsg.1-2 (using .../libhx509-5-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libhx509-5-heimdal ... Preparing to replace libkrb5-26-heimdal 1.6~git20120311.dfsg.1-2 (using .../libkrb5-26-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libkrb5-26-heimdal ... Preparing to replace libheimntlm0-heimdal 1.6~git20120311.dfsg.1-2 (using .../libheimntlm0-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libheimntlm0-heimdal ... Preparing to replace libgssapi3-heimdal 1.6~git20120311.dfsg.1-2 (using .../libgssapi3-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1_amd64.deb) ... Unpacking replacement libgssapi3-heimdal ... Preparing to replace libsasl2-2 2.1.25.dfsg1-3 (using .../libsasl2-2_2.1.25.dfsg1-3ubuntu0.1_amd64.deb) ... Unpacking replacement libsasl2-2 ... Preparing to replace libldap-2.4-2 2.4.28-1.1ubuntu4 (using .../libldap-2.4-2_2.4.28-1.1ubuntu4.6_amd64.deb) ... Unpacking replacement libldap-2.4-2 ... Preparing to replace openssl 1.0.1-4ubuntu3 (using .../openssl_1.0.1-4ubuntu5.39_amd64.deb) ... Unpacking replacement openssl ... Preparing to replace ca-certificates 20111211 (using .../ca-certificates_20160104ubuntu0.12.04.1_all.deb) ... Unpacking replacement ca-certificates ... Preparing to replace libcurl3-gnutls 7.22.0-3ubuntu4 (using .../libcurl3-gnutls_7.22.0-3ubuntu4.17_amd64.deb) ... Unpacking replacement libcurl3-gnutls ... Preparing to replace libpam-runtime 1.1.3-7ubuntu2 (using .../libpam-runtime_1.1.3-7ubuntu2.3_all.deb) ... Unpacking replacement libpam-runtime ... Setting up libpam-runtime (1.1.3-7ubuntu2.3) ... (Reading database ... 12309 files and directories currently installed.) Preparing to replace multiarch-support 2.15-0ubuntu10 (using .../multiarch-support_2.15-0ubuntu10.18_amd64.deb) ... Unpacking replacement multiarch-support ... Setting up multiarch-support (2.15-0ubuntu10.18) ... (Reading database ... 12309 files and directories currently installed.) Preparing to replace apt-transport-https 0.8.16~exp12ubuntu10 (using .../apt-transport-https_0.8.16~exp12ubuntu10.27_amd64.deb) ... Unpacking replacement apt-transport-https ... Preparing to replace binutils 2.22-6ubuntu1 (using .../binutils_2.22-6ubuntu1.4_amd64.deb) ... Unpacking replacement binutils ... Preparing to replace make 3.81-8.1ubuntu1 (using .../make_3.81-8.1ubuntu1.1_amd64.deb) ... Unpacking replacement make ... Preparing to replace dpkg-dev 1.16.1.2ubuntu7 (using .../dpkg-dev_1.16.1.2ubuntu7.8_all.deb) ... Unpacking replacement dpkg-dev ... Preparing to replace libdpkg-perl 1.16.1.2ubuntu7 (using .../libdpkg-perl_1.16.1.2ubuntu7.8_all.deb) ... Unpacking replacement libdpkg-perl ... Preparing to replace patch 2.6.1-3 (using .../patch_2.6.1-3ubuntu0.1_amd64.deb) ... Unpacking replacement patch ... Preparing to replace build-essential 11.5ubuntu2 (using .../build-essential_11.5ubuntu2.1_amd64.deb) ... Unpacking replacement build-essential ... Preparing to replace optipng 0.6.4-1 (using .../optipng_0.6.4-1ubuntu0.12.04.1_amd64.deb) ... Unpacking replacement optipng ... Preparing to replace pkg-create-dbgsym 0.50 (using .../pkg-create-dbgsym_0.50.2_all.deb) ... Unpacking replacement pkg-create-dbgsym ... Setting up libc-dev-bin (2.15-0ubuntu10.18) ... Setting up linux-libc-dev (3.2.0-126.169) ... Setting up libc6-dev (2.15-0ubuntu10.18) ... Setting up libpcre3 (8.12-4ubuntu0.2) ... Setting up libglib2.0-0 (2.32.4-0ubuntu1) ... No schema files found: doing nothing. Setting up procps (1:3.2.8-11ubuntu6.4) ... invoke-rc.d: policy-rc.d denied execution of start. Setting up udev (175-0ubuntu9.10) ... Installing new version of config file /etc/init/udev-fallback-graphics.conf ... invoke-rc.d: policy-rc.d denied execution of restart. Removing 'diversion of /sbin/udevadm to /sbin/udevadm.upgrade by fake-udev' update-initramfs: deferring update (trigger activated) Setting up libpng12-0 (1.2.46-3ubuntu4.2) ... Setting up makedev (2.3.1-89ubuntu3) ... Setting up libnih1 (1.0.3-4ubuntu9.1) ... Setting up libnih-dbus1 (1.0.3-4ubuntu9.1) ... Setting up iproute (20111117-1ubuntu2.3) ... Setting up ifupdown (0.7~beta2ubuntu11.1) ... Installing new version of config file /etc/init/network-interface.conf ... Setting up liblockfile-bin (1.09-3ubuntu0.1) ... Setting up liblockfile1 (1.09-3ubuntu0.1) ... Setting up libsqlite3-0 (3.7.9-2ubuntu1.2) ... Setting up libelf1 (0.152-1ubuntu3.1) ... Setting up libroken18-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libasn1-8-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libgcrypt11 (1.5.0-3ubuntu0.6) ... Setting up libtasn1-3 (2.10-1ubuntu1.5) ... Setting up libgnutls26 (2.12.14-5ubuntu3.14) ... Setting up libkrb5support0 (1.10+dfsg~beta1-2ubuntu0.7) ... Setting up libk5crypto3 (1.10+dfsg~beta1-2ubuntu0.7) ... Setting up libkrb5-3 (1.10+dfsg~beta1-2ubuntu0.7) ... Setting up libgssapi-krb5-2 (1.10+dfsg~beta1-2ubuntu0.7) ... Setting up libidn11 (1.23-2ubuntu0.1) ... Setting up libhcrypto4-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libheimbase1-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libwind0-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libhx509-5-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libkrb5-26-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libheimntlm0-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libgssapi3-heimdal (1.6~git20120311.dfsg.1-2ubuntu0.1) ... Setting up libsasl2-2 (2.1.25.dfsg1-3ubuntu0.1) ... Setting up libldap-2.4-2 (2.4.28-1.1ubuntu4.6) ... Setting up openssl (1.0.1-4ubuntu5.39) ... Setting up ca-certificates (20160104ubuntu0.12.04.1) ... Updating certificates in /etc/ssl/certs... 56 added, 35 removed; done. Running hooks in /etc/ca-certificates/update.d....done. Setting up libcurl3-gnutls (7.22.0-3ubuntu4.17) ... Setting up apt-transport-https (0.8.16~exp12ubuntu10.27) ... Setting up binutils (2.22-6ubuntu1.4) ... Setting up make (3.81-8.1ubuntu1.1) ... Setting up patch (2.6.1-3ubuntu0.1) ... Setting up optipng (0.6.4-1ubuntu0.12.04.1) ... Setting up pkg-create-dbgsym (0.50.2) ... Setting up perl-modules (5.14.2-6ubuntu2.5) ... Setting up perl (5.14.2-6ubuntu2.5) ... Setting up libdpkg-perl (1.16.1.2ubuntu7.8) ... Setting up dpkg-dev (1.16.1.2ubuntu7.8) ... Setting up build-essential (11.5ubuntu2.1) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place Processing triggers for initramfs-tools ... RUN: /usr/share/launchpad-buildd/slavebin/sbuild-package PACKAGEBUILD-13367011 amd64 precise -c chroot:build-PACKAGEBUILD-13367011 --arch=amd64 --dist=precise --nolog -A connectal_17.09.1-1precise1.dsc Initiating build PACKAGEBUILD-13367011 with 4 jobs across 4 processor cores. Kernel reported to sbuild: 2.6.64-93-generic #116-Ubuntu SMP Fri Aug 11 21:17:51 UTC 2017 x86_64 sbuild (Debian sbuild) 0.67.0 (26 Dec 2015) on lgw01-53.buildd +==============================================================================+ | connectal 17.09.1-1precise1 (amd64) 12 Sep 2017 16:37 | +==============================================================================+ Package: connectal Version: 17.09.1-1precise1 Source Version: 17.09.1-1precise1 Distribution: precise Machine Architecture: amd64 Host Architecture: amd64 Build Architecture: amd64 I: NOTICE: Log filtering will replace 'build/connectal-kXC5_9/connectal-17.09.1' with '<>' I: NOTICE: Log filtering will replace 'build/connectal-kXC5_9' with '<>' I: NOTICE: Log filtering will replace 'home/buildd/build-PACKAGEBUILD-13367011/chroot-autobuild' with '<>' +------------------------------------------------------------------------------+ | Fetch source files | +------------------------------------------------------------------------------+ Local sources ------------- connectal_17.09.1-1precise1.dsc exists in .; copying to chroot Check architectures ------------------- Check dependencies ------------------ Merged Build-Depends: build-essential, fakeroot Filtered Build-Depends: build-essential, fakeroot dpkg-deb: building package `sbuild-build-depends-core-dummy' in `/<>/resolver-8D35M9/apt_archive/sbuild-build-depends-core-dummy.deb'. Ign copy: ./ Release.gpg Get:1 copy: ./ Release [2119 B] Get:2 copy: ./ Sources [214 B] Get:3 copy: ./ Packages [524 B] Ign copy: ./ Translation-en Fetched 2857 B in 0s (0 B/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install core build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following NEW packages will be installed: sbuild-build-depends-core-dummy 0 upgraded, 1 newly installed, 0 to remove and 0 not upgraded. Need to get 710 B of archives. After this operation, 0 B of additional disk space will be used. WARNING: The following packages cannot be authenticated! sbuild-build-depends-core-dummy Authentication warning overridden. Get:1 copy:/<>/resolver-8D35M9/apt_archive/ ./ sbuild-build-depends-core-dummy 0.invalid.0 [710 B] debconf: delaying package configuration, since apt-utils is not installed Fetched 710 B in 0s (0 B/s) Selecting previously unselected package sbuild-build-depends-core-dummy. (Reading database ... 12310 files and directories currently installed.) Unpacking sbuild-build-depends-core-dummy (from .../sbuild-build-depends-core-dummy_0.invalid.0_amd64.deb) ... Setting up sbuild-build-depends-core-dummy (0.invalid.0) ... Merged Build-Depends: debhelper (>= 8.0.0), python (>= 2.7), python-ply, dkms Filtered Build-Depends: debhelper (>= 8.0.0), python (>= 2.7), python-ply, dkms dpkg-deb: building package `sbuild-build-depends-connectal-dummy' in `/<>/resolver-k5hR03/apt_archive/sbuild-build-depends-connectal-dummy.deb'. Ign copy: ./ Release.gpg Get:1 copy: ./ Release [2119 B] Get:2 copy: ./ Sources [233 B] Get:3 copy: ./ Packages [549 B] Ign copy: ./ Translation-en Fetched 2901 B in 0s (221 kB/s) Reading package lists... Reading package lists... +------------------------------------------------------------------------------+ | Install connectal build dependencies (apt-based resolver) | +------------------------------------------------------------------------------+ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following extra packages will be installed: bsdmainutils debhelper dh-apparmor dkms file gettext gettext-base groff-base html2text intltool-debian libcroco3 libexpat1 libgettextpo0 libmagic1 libpipeline1 libunistring0 libxml2 man-db mime-support po-debconf python python-ply python2.7 Suggested packages: wamerican wordlist whois vacation dh-make gettext-doc groff less www-browser libmail-box-perl python-doc python-tk python-ply-doc python-pkg-resources python2.7-doc Recommended packages: menu sudo curl wget lynx-cur xml-core libmail-sendmail-perl The following NEW packages will be installed: bsdmainutils debhelper dh-apparmor dkms file gettext gettext-base groff-base html2text intltool-debian libcroco3 libexpat1 libgettextpo0 libmagic1 libpipeline1 libunistring0 libxml2 man-db mime-support po-debconf python python-ply python2.7 sbuild-build-depends-connectal-dummy 0 upgraded, 24 newly installed, 0 to remove and 0 not upgraded. Need to get 8965 kB of archives. After this operation, 28.9 MB of additional disk space will be used. WARNING: The following packages cannot be authenticated! libpipeline1 libxml2 libcroco3 libexpat1 libunistring0 libgettextpo0 libmagic1 file mime-support python2.7 python bsdmainutils gettext-base groff-base man-db html2text gettext intltool-debian po-debconf dh-apparmor debhelper dkms python-ply sbuild-build-depends-connectal-dummy Authentication warning overridden. Get:1 copy:/<>/resolver-k5hR03/apt_archive/ ./ sbuild-build-depends-connectal-dummy 0.invalid.0 [732 B] Get:2 http://ftpmaster.internal/ubuntu/ precise/main libpipeline1 amd64 1.2.1-1 [26.5 kB] Get:3 http://ftpmaster.internal/ubuntu/ precise-security/main libxml2 amd64 2.7.8.dfsg-5.1ubuntu4.17 [678 kB] Get:4 http://ftpmaster.internal/ubuntu/ precise-updates/main libcroco3 amd64 0.6.5-1ubuntu0.1 [99.8 kB] Get:5 http://ftpmaster.internal/ubuntu/ precise-security/main libexpat1 amd64 2.0.1-7.2ubuntu1.4 [131 kB] Get:6 http://ftpmaster.internal/ubuntu/ precise/main libunistring0 amd64 0.9.3-5 [427 kB] Get:7 http://ftpmaster.internal/ubuntu/ precise/main libgettextpo0 amd64 0.18.1.1-5ubuntu3 [120 kB] Get:8 http://ftpmaster.internal/ubuntu/ precise-security/main libmagic1 amd64 5.09-2ubuntu0.6 [218 kB] Get:9 http://ftpmaster.internal/ubuntu/ precise-security/main file amd64 5.09-2ubuntu0.6 [20.0 kB] Get:10 http://ftpmaster.internal/ubuntu/ precise-security/main mime-support all 3.51-1ubuntu1.1 [30.4 kB] Get:11 http://ftpmaster.internal/ubuntu/ precise-security/main python2.7 amd64 2.7.3-0ubuntu3.9 [2678 kB] Get:12 http://ftpmaster.internal/ubuntu/ precise-updates/main python amd64 2.7.3-0ubuntu2.2 [168 kB] Get:13 http://ftpmaster.internal/ubuntu/ precise/main bsdmainutils amd64 8.2.3ubuntu1 [200 kB] Get:14 http://ftpmaster.internal/ubuntu/ precise/main gettext-base amd64 0.18.1.1-5ubuntu3 [80.0 kB] Get:15 http://ftpmaster.internal/ubuntu/ precise/main groff-base amd64 1.21-7 [1046 kB] Get:16 http://ftpmaster.internal/ubuntu/ precise-updates/main man-db amd64 2.6.1-2ubuntu2 [755 kB] Get:17 http://ftpmaster.internal/ubuntu/ precise/main html2text amd64 1.3.2a-15 [104 kB] Get:18 http://ftpmaster.internal/ubuntu/ precise/main gettext amd64 0.18.1.1-5ubuntu3 [1188 kB] Get:19 http://ftpmaster.internal/ubuntu/ precise/main intltool-debian all 0.35.0+20060710.1 [31.6 kB] Get:20 http://ftpmaster.internal/ubuntu/ precise/main po-debconf all 1.0.16+nmu2ubuntu1 [210 kB] Get:21 http://ftpmaster.internal/ubuntu/ precise-security/main dh-apparmor all 2.7.102-0ubuntu3.11 [8866 B] Get:22 http://ftpmaster.internal/ubuntu/ precise/main debhelper all 9.20120115ubuntu3 [616 kB] Get:23 http://ftpmaster.internal/ubuntu/ precise-security/main dkms all 2.2.0.3-1ubuntu3.6 [73.3 kB] Get:24 http://ftpmaster.internal/ubuntu/ precise/universe python-ply all 3.4-2build1 [56.6 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 8965 kB in 1s (5532 kB/s) Selecting previously unselected package libpipeline1. (Reading database ... 12310 files and directories currently installed.) Unpacking libpipeline1 (from .../libpipeline1_1.2.1-1_amd64.deb) ... Selecting previously unselected package libxml2. Unpacking libxml2 (from .../libxml2_2.7.8.dfsg-5.1ubuntu4.17_amd64.deb) ... Selecting previously unselected package libcroco3. Unpacking libcroco3 (from .../libcroco3_0.6.5-1ubuntu0.1_amd64.deb) ... Selecting previously unselected package libexpat1. Unpacking libexpat1 (from .../libexpat1_2.0.1-7.2ubuntu1.4_amd64.deb) ... Selecting previously unselected package libunistring0. Unpacking libunistring0 (from .../libunistring0_0.9.3-5_amd64.deb) ... Selecting previously unselected package libgettextpo0. Unpacking libgettextpo0 (from .../libgettextpo0_0.18.1.1-5ubuntu3_amd64.deb) ... Selecting previously unselected package libmagic1. Unpacking libmagic1 (from .../libmagic1_5.09-2ubuntu0.6_amd64.deb) ... Selecting previously unselected package file. Unpacking file (from .../file_5.09-2ubuntu0.6_amd64.deb) ... Selecting previously unselected package mime-support. Unpacking mime-support (from .../mime-support_3.51-1ubuntu1.1_all.deb) ... Selecting previously unselected package python2.7. Unpacking python2.7 (from .../python2.7_2.7.3-0ubuntu3.9_amd64.deb) ... Selecting previously unselected package python. Unpacking python (from .../python_2.7.3-0ubuntu2.2_amd64.deb) ... Selecting previously unselected package bsdmainutils. Unpacking bsdmainutils (from .../bsdmainutils_8.2.3ubuntu1_amd64.deb) ... Selecting previously unselected package gettext-base. Unpacking gettext-base (from .../gettext-base_0.18.1.1-5ubuntu3_amd64.deb) ... Selecting previously unselected package groff-base. Unpacking groff-base (from .../groff-base_1.21-7_amd64.deb) ... Selecting previously unselected package man-db. Unpacking man-db (from .../man-db_2.6.1-2ubuntu2_amd64.deb) ... Selecting previously unselected package html2text. Unpacking html2text (from .../html2text_1.3.2a-15_amd64.deb) ... Selecting previously unselected package gettext. Unpacking gettext (from .../gettext_0.18.1.1-5ubuntu3_amd64.deb) ... Selecting previously unselected package intltool-debian. Unpacking intltool-debian (from .../intltool-debian_0.35.0+20060710.1_all.deb) ... Selecting previously unselected package po-debconf. Unpacking po-debconf (from .../po-debconf_1.0.16+nmu2ubuntu1_all.deb) ... Selecting previously unselected package dh-apparmor. Unpacking dh-apparmor (from .../dh-apparmor_2.7.102-0ubuntu3.11_all.deb) ... Selecting previously unselected package debhelper. Unpacking debhelper (from .../debhelper_9.20120115ubuntu3_all.deb) ... Selecting previously unselected package dkms. Unpacking dkms (from .../dkms_2.2.0.3-1ubuntu3.6_all.deb) ... Selecting previously unselected package python-ply. Unpacking python-ply (from .../python-ply_3.4-2build1_all.deb) ... Selecting previously unselected package sbuild-build-depends-connectal-dummy. Unpacking sbuild-build-depends-connectal-dummy (from .../sbuild-build-depends-connectal-dummy_0.invalid.0_amd64.deb) ... Setting up libpipeline1 (1.2.1-1) ... Setting up libxml2 (2.7.8.dfsg-5.1ubuntu4.17) ... Setting up libcroco3 (0.6.5-1ubuntu0.1) ... Setting up libexpat1 (2.0.1-7.2ubuntu1.4) ... Setting up libunistring0 (0.9.3-5) ... Setting up libgettextpo0 (0.18.1.1-5ubuntu3) ... Setting up libmagic1 (5.09-2ubuntu0.6) ... Setting up file (5.09-2ubuntu0.6) ... Setting up mime-support (3.51-1ubuntu1.1) ... update-alternatives: using /usr/bin/see to provide /usr/bin/view (view) in auto mode. Setting up python2.7 (2.7.3-0ubuntu3.9) ... Setting up python (2.7.3-0ubuntu2.2) ... Setting up bsdmainutils (8.2.3ubuntu1) ... update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode. update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode. Setting up gettext-base (0.18.1.1-5ubuntu3) ... Setting up groff-base (1.21-7) ... Setting up man-db (2.6.1-2ubuntu2) ... Building database of manual pages ... Setting up html2text (1.3.2a-15) ... Setting up gettext (0.18.1.1-5ubuntu3) ... Setting up intltool-debian (0.35.0+20060710.1) ... Setting up po-debconf (1.0.16+nmu2ubuntu1) ... Setting up dh-apparmor (2.7.102-0ubuntu3.11) ... Setting up debhelper (9.20120115ubuntu3) ... Setting up dkms (2.2.0.3-1ubuntu3.6) ... Setting up python-ply (3.4-2build1) ... Setting up sbuild-build-depends-connectal-dummy (0.invalid.0) ... Processing triggers for libc-bin ... ldconfig deferred processing now taking place +------------------------------------------------------------------------------+ | Build environment | +------------------------------------------------------------------------------+ Kernel: Linux 2.6.64-93-generic amd64 (x86_64) Toolchain package versions: binutils_2.22-6ubuntu1.4 dpkg-dev_1.16.1.2ubuntu7.8 g++-4.6_4.6.3-1ubuntu5 gcc-4.6_4.6.3-1ubuntu5 libc6-dev_2.15-0ubuntu10.18 libstdc++6_4.6.3-1ubuntu5 libstdc++6-4.6-dev_4.6.3-1ubuntu5 linux-libc-dev_3.2.0-126.169 Package versions: adduser_3.113ubuntu2 advancecomp_1.15-1 apt_0.8.16~exp12ubuntu10.27 apt-transport-https_0.8.16~exp12ubuntu10.27 base-files_6.5ubuntu6.8 base-passwd_3.5.24 bash_4.2-2ubuntu2.6 binutils_2.22-6ubuntu1.4 bsdmainutils_8.2.3ubuntu1 bsdutils_1:2.20.1-1ubuntu3.1 build-essential_11.5ubuntu2.1 busybox-initramfs_1:1.18.5-1ubuntu4.1 bzip2_1.0.6-1 ca-certificates_20160104ubuntu0.12.04.1 coreutils_8.13-3ubuntu3.3 cpio_2.11-7ubuntu3.2 cpp_4:4.6.3-1ubuntu5 cpp-4.6_4.6.3-1ubuntu5 dash_0.5.7-2ubuntu2 debconf_1.5.42ubuntu1 debhelper_9.20120115ubuntu3 debianutils_4.2.1ubuntu2 dh-apparmor_2.7.102-0ubuntu3.11 diffutils_1:3.2-1ubuntu1 dkms_2.2.0.3-1ubuntu3.6 dpkg_1.16.1.2ubuntu7.8 dpkg-dev_1.16.1.2ubuntu7.8 e2fslibs_1.42-1ubuntu2.3 e2fsprogs_1.42-1ubuntu2.3 fakeroot_1.18.2-1 file_5.09-2ubuntu0.6 findutils_4.4.2-4ubuntu1 g++_4:4.6.3-1ubuntu5 g++-4.6_4.6.3-1ubuntu5 gcc_4:4.6.3-1ubuntu5 gcc-4.6_4.6.3-1ubuntu5 gcc-4.6-base_4.6.3-1ubuntu5 gettext_0.18.1.1-5ubuntu3 gettext-base_0.18.1.1-5ubuntu3 gnupg_1.4.11-3ubuntu2.10 gpgv_1.4.11-3ubuntu2.10 grep_2.10-1 groff-base_1.21-7 gzip_1.4-1ubuntu2 hostname_3.06ubuntu1 html2text_1.3.2a-15 ifupdown_0.7~beta2ubuntu11.1 initramfs-tools_0.99ubuntu13.5 initramfs-tools-bin_0.99ubuntu13.5 initscripts_2.88dsf-13.10ubuntu11.1 insserv_1.14.0-2.1ubuntu2 intltool-debian_0.35.0+20060710.1 iproute_20111117-1ubuntu2.3 klibc-utils_1.5.25-1ubuntu2 libacl1_2.2.51-5ubuntu1 libapt-pkg4.11_0.8.16~exp5ubuntu14.2 libapt-pkg4.12_0.8.16~exp12ubuntu10.27 libasn1-8-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 libattr1_1:2.4.46-5ubuntu1 libblkid1_2.20.1-1ubuntu3.1 libbz2-1.0_1.0.6-1 libc-bin_2.15-0ubuntu10.18 libc-dev-bin_2.15-0ubuntu10.18 libc6_2.15-0ubuntu10.18 libc6-dev_2.15-0ubuntu10.18 libclass-isa-perl_0.36-3 libcomerr2_1.42-1ubuntu2.3 libcroco3_0.6.5-1ubuntu0.1 libcurl3-gnutls_7.22.0-3ubuntu4.17 libdb5.1_5.1.25-11build1 libdbus-1-3_1.4.18-1ubuntu1.8 libdpkg-perl_1.16.1.2ubuntu7.8 libdrm-intel1_2.4.52-1~precise2 libdrm-nouveau1a_2.4.52-1~precise2 libdrm-radeon1_2.4.52-1~precise2 libdrm2_2.4.52-1~precise2 libelf1_0.152-1ubuntu3.1 libexpat1_2.0.1-7.2ubuntu1.4 libffi6_3.0.11~rc1-5 libgcc1_1:4.6.3-1ubuntu5 libgcrypt11_1.5.0-3ubuntu0.6 libgdbm3_1.8.3-10 libgettextpo0_0.18.1.1-5ubuntu3 libglib2.0-0_2.32.4-0ubuntu1 libgmp10_2:5.0.2+dfsg-2ubuntu1 libgnutls26_2.12.14-5ubuntu3.14 libgomp1_4.6.3-1ubuntu5 libgpg-error0_1.10-2ubuntu1 libgssapi-krb5-2_1.10+dfsg~beta1-2ubuntu0.7 libgssapi3-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 libhcrypto4-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 libheimbase1-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 libheimntlm0-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 libhx509-5-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 libidn11_1.23-2ubuntu0.1 libk5crypto3_1.10+dfsg~beta1-2ubuntu0.7 libkeyutils1_1.5.2-2 libklibc_1.5.25-1ubuntu2 libkrb5-26-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 libkrb5-3_1.10+dfsg~beta1-2ubuntu0.7 libkrb5support0_1.10+dfsg~beta1-2ubuntu0.7 libldap-2.4-2_2.4.28-1.1ubuntu4.6 liblockfile-bin_1.09-3ubuntu0.1 liblockfile1_1.09-3ubuntu0.1 liblzma5_5.1.1alpha+20110809-3 libmagic1_5.09-2ubuntu0.6 libmount1_2.20.1-1ubuntu3.1 libmpc2_0.9-4 libmpfr4_3.1.0-3ubuntu2 libncurses5_5.9-4 libncursesw5_5.9-4 libnih-dbus1_1.0.3-4ubuntu9.1 libnih1_1.0.3-4ubuntu9.1 libp11-kit0_0.12-2ubuntu1 libpam-modules_1.1.3-7ubuntu2.3 libpam-modules-bin_1.1.3-7ubuntu2.3 libpam-runtime_1.1.3-7ubuntu2.3 libpam0g_1.1.3-7ubuntu2.3 libpciaccess0_0.12.902-1ubuntu0.2 libpcre3_8.12-4ubuntu0.2 libpipeline1_1.2.1-1 libplymouth2_0.8.2-2ubuntu31.1 libpng12-0_1.2.46-3ubuntu4.2 libquadmath0_4.6.3-1ubuntu5 libreadline6_6.2-8 libroken18-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 librtmp0_2.4~20110711.gitc28f1bab-1 libsasl2-2_2.1.25.dfsg1-3ubuntu0.1 libselinux1_2.1.0-4.1ubuntu1 libslang2_2.2.4-3ubuntu1 libsqlite3-0_3.7.9-2ubuntu1.2 libss2_1.42-1ubuntu2.3 libssl1.0.0_1.0.1-4ubuntu5.39 libstdc++6_4.6.3-1ubuntu5 libstdc++6-4.6-dev_4.6.3-1ubuntu5 libswitch-perl_2.16-2 libtasn1-3_2.10-1ubuntu1.5 libtimedate-perl_1.2000-1 libtinfo5_5.9-4 libudev0_175-0ubuntu9.10 libunistring0_0.9.3-5 libusb-0.1-4_2:0.1.12-20 libuuid1_2.20.1-1ubuntu3.1 libwind0-heimdal_1.6~git20120311.dfsg.1-2ubuntu0.1 libxml2_2.7.8.dfsg-5.1ubuntu4.17 linux-libc-dev_3.2.0-126.169 locales_2.13+git20120306-3 lockfile-progs_0.1.16 login_1:4.1.4.2+svn3283-3ubuntu5.1 lsb-base_4.0-0ubuntu20.3 make_3.81-8.1ubuntu1.1 makedev_2.3.1-89ubuntu3 man-db_2.6.1-2ubuntu2 mawk_1.3.3-17 mime-support_3.51-1ubuntu1.1 module-init-tools_3.16-1ubuntu2 mount_2.20.1-1ubuntu3.1 mountall_2.36.4ubuntu0.1 multiarch-support_2.15-0ubuntu10.18 ncurses-base_5.9-4 ncurses-bin_5.9-4 openssl_1.0.1-4ubuntu5.39 optipng_0.6.4-1ubuntu0.12.04.1 passwd_1:4.1.4.2+svn3283-3ubuntu5.1 patch_2.6.1-3ubuntu0.1 perl_5.14.2-6ubuntu2.5 perl-base_5.14.2-6ubuntu2.5 perl-modules_5.14.2-6ubuntu2.5 pkg-create-dbgsym_0.50.2 pkgbinarymangler_116 plymouth_0.8.2-2ubuntu31.1 po-debconf_1.0.16+nmu2ubuntu1 policyrcd-script-zg2_0.1-2 procps_1:3.2.8-11ubuntu6.4 python_2.7.3-0ubuntu2.2 python-minimal_2.7.3-0ubuntu2.2 python-ply_3.4-2build1 python2.7_2.7.3-0ubuntu3.9 python2.7-minimal_2.7.3-0ubuntu3.9 readline-common_6.2-8 sbuild-build-depends-connectal-dummy_0.invalid.0 sbuild-build-depends-core-dummy_0.invalid.0 sed_4.2.1-9 sensible-utils_0.0.6ubuntu2 sysv-rc_2.88dsf-13.10ubuntu11.1 sysvinit-utils_2.88dsf-13.10ubuntu11.1 tar_1.26-4ubuntu1.1 tzdata_2016j-0ubuntu0.12.04 ubuntu-keyring_2011.11.21.1 udev_175-0ubuntu9.10 upstart_1.5-0ubuntu7.3 util-linux_2.20.1-1ubuntu3.1 xz-utils_5.1.1alpha+20110809-3 zlib1g_1:1.2.3.4.dfsg-3ubuntu4 +------------------------------------------------------------------------------+ | Build | +------------------------------------------------------------------------------+ Unpack source ------------- gpgv: Signature made Tue Sep 12 16:33:38 2017 UTC using RSA key ID BF1022DA gpgv: Can't check signature: public key not found dpkg-source: warning: failed to verify signature on ./connectal_17.09.1-1precise1.dsc dpkg-source: info: extracting connectal in connectal-17.09.1 dpkg-source: info: unpacking connectal_17.09.1.orig.tar.gz dpkg-source: info: applying connectal_17.09.1-1precise1.diff.gz dpkg-source: info: upstream files that have been modified: connectal-17.09.1/Makefile connectal-17.09.1/Makefile.connectal connectal-17.09.1/scripts/Makefile.connectal.build Check disc space ---------------- Sufficient free space for build User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=noautodbgsym parallel=4 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LOGNAME=buildd PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SCHROOT_ALIAS_NAME=build-PACKAGEBUILD-13367011 SCHROOT_CHROOT_NAME=build-PACKAGEBUILD-13367011 SCHROOT_COMMAND=env SCHROOT_GID=2501 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=build-PACKAGEBUILD-13367011 SCHROOT_UID=2001 SCHROOT_USER=buildd SHELL=/bin/sh TERM=unknown USER=buildd V=1 dpkg-buildpackage ----------------- dpkg-buildpackage: export CFLAGS from dpkg-buildflags (origin: vendor): -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Wformat-security dpkg-buildpackage: export CPPFLAGS from dpkg-buildflags (origin: vendor): -D_FORTIFY_SOURCE=2 dpkg-buildpackage: export CXXFLAGS from dpkg-buildflags (origin: vendor): -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Wformat-security dpkg-buildpackage: export FFLAGS from dpkg-buildflags (origin: vendor): -g -O2 dpkg-buildpackage: export LDFLAGS from dpkg-buildflags (origin: vendor): -Wl,-Bsymbolic-functions -Wl,-z,relro dpkg-buildpackage: source package connectal dpkg-buildpackage: source version 17.09.1-1precise1 dpkg-source --before-build connectal-17.09.1 dpkg-buildpackage: host architecture amd64 fakeroot debian/rules clean dh clean --with dkms dh_testdir dh_auto_clean make[1]: Entering directory `/<>' #(cd drivers/pcieportal; make clean) make -C pcie clean make[2]: Entering directory `/<>/pcie' make[2]: Nothing to be done for `clean'. make[2]: Leaving directory `/<>/pcie' for archname in ac701 zedboard zc702 zc706 kc705 vc707 zynq100 v2000t bluesim miniitx100 de5 htg4 vsim parallella xsim zybo kc705g2 vc707g2 ; do \ rm -rf examples/*/"$archname" tests/*/"$archname"; \ done rm -rf pcie/connectalutil/connectalutil tests/memread_manual/kernel/bsim_relay rm -rf out/ exit.status cpp/*.o scripts/*.pyc rm -rf tests/*/train-images-idx3-ubyte examples/*/train-images-idx3-ubyte rm -rf doc/library/build/ examples/rbm/datasets/ rm -f doc/library/source/devguide/connectalbuild-1.png rm -rf tests/partial/variant2 make[1]: Leaving directory `/<>' dh_clean debian/rules build dh build --with dkms dh_testdir dh_auto_configure dh_auto_build make[1]: Entering directory `/<>' #(cd drivers/pcieportal; make) make -C pcie make[2]: Entering directory `/<>/pcie' make[2]: Nothing to be done for `all'. make[2]: Leaving directory `/<>/pcie' [ -e out ] || mkdir out python scripts/syntax.py WARNING: Token 'TOKDEPENDENCIES' defined, but not used WARNING: Token 'TOKRULES' defined, but not used WARNING: Token 'TOKDETERMINES' defined, but not used WARNING: Token 'TOKREADY' defined, but not used WARNING: Token 'TOKBDPI' defined, but not used WARNING: Token 'TOKENDRULES' defined, but not used WARNING: Token 'TOKBVI' defined, but not used WARNING: There are 7 unused tokens Generating LALR tables WARNING: 208 shift/reduce conflicts WARNING: 16 reduce/reduce conflicts WARNING: reduce/reduce conflict in state 81 resolved using rule (colonVar -> ) WARNING: rejected rule (instanceAttributes -> ) in state 81 WARNING: reduce/reduce conflict in state 134 resolved using rule (interfaceHashParams -> ) WARNING: rejected rule (deriving -> ) in state 134 WARNING: reduce/reduce conflict in state 301 resolved using rule (lvalue -> TOKACTION fsmStmts TOKENDACTION) WARNING: rejected rule (expressionStmt -> TOKACTION fsmStmts TOKENDACTION) in state 301 WARNING: reduce/reduce conflict in state 366 resolved using rule (expressions -> ) WARNING: rejected rule (structInits -> ) in state 366 WARNING: reduce/reduce conflict in state 621 resolved using rule (instanceAttributes -> ) WARNING: rejected rule (instanceDeclStmts -> ) in state 621 WARNING: reduce/reduce conflict in state 752 resolved using rule (colonVar -> ) WARNING: rejected rule (instanceAttributes -> ) in state 752 echo version "17.09.1" version 17.09.1 make[1]: Leaving directory `/<>' dh_auto_test fakeroot debian/rules binary dh binary --with dkms dh_testroot dh_prep dh_installdirs dh_auto_install make[1]: Entering directory `/<>' find LICENSE.txt Makefile Makefile.connectal Makefile.version README.md boardinfo bsv constraints contrib cpp doc drivers etc examples generated gralloc jtag lib out pcie scripts tests verilog -type d -exec install -d -m755 /<>/debian/tmp/usr/share/connectal/{} \; -print boardinfo bsv constraints constraints/xilinx constraints/xilinx/ok constraints/altera contrib contrib/bluescope contrib/noc2d contrib/bluescopeeventpio contrib/noc contrib/ptest contrib/portalperf contrib/splice contrib/smithwaterman contrib/serialconfig contrib/flowcontrol contrib/importverilog contrib/bluescopeevent contrib/parallella contrib/perf contrib/pipe_mul contrib/channelselect contrib/fib contrib/pipe_mul2 contrib/maxcommonsubseq cpp doc doc/generated doc/generated/html doc/android-sdk-screenshots doc/previous doc/library doc/library/source doc/library/source/devguide doc/library/source/examples doc/library/source/tools doc/library/source/themes doc/library/source/themes/connectal doc/library/source/themes/connectal/static doc/library/source/design doc/library/source/design/images doc/library/source/bsv doc/library/source/c drivers drivers/portalmem drivers/pcieportal drivers/pcieportal/linux drivers/connectalspi drivers/zynqportal drivers/connectalsdhci etc etc/modules-load.d etc/udev etc/udev/rules.d examples examples/memread256 examples/sdcard_spi examples/printf examples/echojsonpy examples/swmemcpy examples/echoshared examples/readbw examples/echo2ind examples/memread_4m examples/gyro_simple examples/memread128 examples/gyrospi examples/hdmidisplay examples/memread_simple examples/memread2 examples/simple examples/simple/boards examples/echohost examples/fmcomms1 examples/echoproto examples/portal-synth-boundary examples/regexp examples/linking examples/nandsim examples/memlatency examples/strstr examples/memcpy examples/matmul examples/echowebsocket examples/imageon examples/echoslow examples/memwrite_4m examples/leds examples/zynqpcie examples/rbm examples/caffe examples/echomux examples/bscan examples/echopy examples/echosoft examples/echojson examples/algo2_nandsim examples/echotrace examples/maxsonar_simple examples/echo examples/algo1_nandsim examples/simplesharedhw examples/memwrite128 examples/hbridge_simple examples/memwrite examples/simplemultibluesim examples/memread examples/memcpyslow examples/echofast examples/zedboard_robot examples/echoinvert examples/aurora generated generated/cpp generated/scripts generated/xilinx generated/altera gralloc jtag jtag/bsd lib lib/deprecated lib/deprecated/pcietestbench lib/deprecated/pcietestbench_dma_oo lib/deprecated/pcietestbench_dma_io lib/regexp lib/regexp/cpp lib/regexp/bsv lib/nandsim lib/nandsim/cpp lib/nandsim/bsv lib/strstr lib/strstr/cpp lib/strstr/bsv lib/matmul lib/matmul/cpp lib/matmul/bsv lib/cpp lib/rbm lib/rbm/cpp lib/rbm/bsv lib/qemu lib/bsv lib/nvme lib/nvme/cpp lib/nvme/bsv lib/nvme/tcl lib/zedboard_robot lib/zedboard_robot/cpp lib/zedboard_robot/bsv out pcie scripts scripts/syntax scripts/deprecated scripts/adb tests tests/testmm32.16.2 tests/memread_err tests/yuv tests/memserver_write128 tests/testmm32.32.2 tests/guard tests/mifo tests/memread_manyengines tests/testmm4.4.4 tests/memcpy_manysglists tests/method tests/dma2bram tests/rootport tests/bpiflash tests/memserver_write tests/algo1_nandsim_manual tests/algo1_nandsim_manual/kernel tests/memserver_copy tests/axieth tests/memwriteengine_test tests/spikehw tests/spikehw/boot tests/nandsim_manual tests/nandsim_manual/kernel tests/test_pmod tests/fp tests/ddr3_altera tests/testrbm16.16.2 tests/testmm8.8.2 tests/ipcperf tests/memserver_copy_slow tests/ov7670 tests/test_spi0 tests/float tests/partial tests/physmaster tests/memserver_copy128 tests/fastecho tests/nvme_strstr tests/testmm2.4.2 tests/memwrite_trivial tests/memread_manual tests/memread_manual/kernel tests/memtopcie_bluesim tests/bluecheck_harness tests/nvme_core tests/bluecheck-bram tests/adapter tests/testldstrex tests/memread_manyclients128 tests/memwrite_acp tests/algo1_flashmodel tests/test_sdio1 tests/testfpmul tests/serialportal tests/simmethodtime tests/testmm_cuda_perf tests/testmm_cuda_perf/cuda_opencv_example tests/memwrite_manyclients tests/memread_manyclients tests/memwrite_manyclients128 tests/nvme_test tests/testmm4.4.2 tests/avalon_mm tests/avalon_mm/verilog tests/testmm8.8.4 tests/testmm16.16.4 tests/ddr3 tests/testmm4.2.2 tests/simple_manual tests/simple_manual/kernel tests/bluecheck-sharedmemfifo tests/echosoft2 tests/testmm16.16.2 tests/spi tests/testrbm8.8.2 tests/qemuaccel tests/aecho tests/aecho/generated verilog verilog/altera verilog/altera/siv_gen2x8 find LICENSE.txt Makefile Makefile.connectal Makefile.version README.md boardinfo bsv constraints contrib cpp doc drivers etc examples generated gralloc jtag lib out pcie scripts tests verilog -type f -exec install -m644 {} /<>/debian/tmp/usr/share/connectal/{} \; -print LICENSE.txt Makefile Makefile.connectal Makefile.version README.md boardinfo/v2000t.json boardinfo/cvc.json boardinfo/kc705g2.json boardinfo/de5.json boardinfo/zcu102.json boardinfo/zybo.json boardinfo/miniitx100.json boardinfo/verilator.json boardinfo/kc160g2.json boardinfo/ncverilog.json boardinfo/vsim.json boardinfo/xsim.json boardinfo/zedboard_ubuntu.json boardinfo/vc707.json boardinfo/kcu105.json boardinfo/parallella.json boardinfo/asic.json boardinfo/vc707g2.json boardinfo/vcu108.json boardinfo/zc706_ubuntu.json boardinfo/zynq100.json boardinfo/ac701g2.json boardinfo/bluesim.json boardinfo/htg4.json boardinfo/vc709.json boardinfo/kc705.json boardinfo/ac701.json boardinfo/zc702.json boardinfo/zc706.json boardinfo/vcs.json boardinfo/zedboard.json boardinfo/nfsume.json bsv/MemReadEngine.bsv bsv/MemServerPortal.bsv bsv/Axi4MasterSlave.bsv bsv/PS7Trace.bsv bsv/EHRM.bsv bsv/PcieCsr.bsv bsv/GetPutWithClocks.bsv bsv/Pcie1EndpointX7.bsv bsv/ConnectalClocks.bsv bsv/XsimTop.bsv bsv/BpiFlash.bsv bsv/AxiGather.bsv bsv/ConnectalPrelude.bsv bsv/PcieEndpointS5Test.bsv bsv/PcieRootPortX7.bsv bsv/PcieRootDevice.bsv bsv/AvalonDdr3Controller.bsv bsv/SimDma.bsv bsv/AxiStream.bsv bsv/MemWriteEngine.bsv bsv/ZynqUltraTop.bsv bsv/HostInterface.bsv bsv/PhysMemSlaveFromBram.bsv bsv/Dsp48E1.bsv bsv/Trace.bsv bsv/Pcie3RootPortX7.bsv bsv/LinkerLib.bsv bsv/AxiDdr3Controller.bsv bsv/AvalonSplitter.bsv bsv/GetPutM.bsv bsv/PcieHost.bsv bsv/AvalonGather.bsv bsv/CFFIFO.bsv bsv/ConnectalMimo.bsv bsv/AxiDma.bsv bsv/MMU.bsv bsv/PcieGearbox.bsv bsv/MemServerInternal.bsv bsv/ConnectableWithTrace.bsv bsv/ConnectalXilinxCells.bsv bsv/MemUtils.bsv bsv/AsicTop.bsv bsv/PcieTop.bsv bsv/EHR.bsv bsv/PcieStateChanges.bsv bsv/ZynqTop.bsv bsv/Platform.bsv bsv/Pcie3EndpointX7.bsv bsv/PcieSplitter.bsv bsv/ConnectalConfig.bsv bsv/GearboxGetPut.bsv bsv/MemServer.bsv bsv/PS7LIB.bsv bsv/PcieTracer.bsv bsv/MemPipe.bsv bsv/Portal.bsv bsv/ConnectalBram.bsv bsv/XsimIF.bsv bsv/PS5LIB.bsv bsv/ConnectalMemory.bsv bsv/ConnectalBramFifo.bsv bsv/PcieEndpointS5.bsv bsv/BramMux.bsv bsv/Adapter.bsv bsv/AxiBits.bsv bsv/AddressGenerator.bsv bsv/MIFO.bsv bsv/PS4LIB.bsv bsv/SyncBits.bsv bsv/ConnectalAlteraCells.bsv bsv/AvalonDma.bsv bsv/AxiMasterSlave.bsv bsv/TraceMemClient.bsv bsv/SyncAxisFifo32x8.bsv bsv/PcieToMem.bsv bsv/AvalonMasterSlave.bsv bsv/CnocPortal.bsv bsv/MemToPcie.bsv bsv/CtrlMux.bsv bsv/Pcie2EndpointX7.bsv bsv/PS8LIB.bsv bsv/DisplayInd.bsv bsv/MemTypes.bsv bsv/AvalonBits.bsv bsv/ConnectalCompletionBuffer.bsv bsv/ParallellaTop.bsv bsv/SimLink.bsv bsv/Pipe.bsv constraints/xilinx/vc707-axiddr3.prj constraints/xilinx/zybo.xdc constraints/xilinx/nfsume.xdc constraints/xilinx/xc7z100ffg900.xdc constraints/xilinx/vc707_aurora.xdc constraints/xilinx/zc706.xdc constraints/xilinx/verilator.xdc constraints/xilinx/vc707-portal-pblock.xdc constraints/xilinx/miniitx100.xdc constraints/xilinx/kc705g2.xdc constraints/xilinx/xc7z045ffg900.xdc constraints/xilinx/kc160g2.xdc constraints/xilinx/miniitx100-axiddr3.prj constraints/xilinx/zc7z020clg400.xdc constraints/xilinx/vc707.xdc constraints/xilinx/cdc.tcl constraints/xilinx/vc709.xdc constraints/xilinx/vc707g2.xdc constraints/xilinx/kc705.xdc constraints/xilinx/Readme.md constraints/xilinx/zcu102.xdc constraints/xilinx/ac701.xdc constraints/xilinx/zc7z020clg484.xdc constraints/xilinx/bluesim.xdc constraints/xilinx/zc706_pl_ddr3_pins.xdc constraints/xilinx/vc707_ddr3_pins.xdc constraints/xilinx/nfsume-axiddr3.prj constraints/xilinx/zynq100.xdc constraints/xilinx/vc707g2-axiddr3.prj constraints/xilinx/vc707_ddr3.xdc constraints/xilinx/bluesim_pcie.xdc constraints/xilinx/v2000t.xdc constraints/xilinx/kcu105.xdc constraints/xilinx/zc706-axiddr3.prj constraints/xilinx/ok/zc7z010clg400.xdc constraints/xilinx/ok/zc7z020clg400.xdc constraints/xilinx/ok/zc7z045ffg900.xdc constraints/xilinx/ok/zc7z020clg484.xdc constraints/xilinx/ok/zc7z100ffg900.xdc constraints/xilinx/kc705-3.0.xdc constraints/xilinx/kc705-ddr3.prj constraints/xilinx/pcie-clocks.xdc constraints/xilinx/parallella.xdc constraints/xilinx/xc7z010clg400.xdc constraints/altera/de5.qsf constraints/altera/htg4.qsf constraints/altera/de5.sdc constraints/altera/htg4.sdc contrib/bluescope/testbluescope.cpp contrib/bluescope/Makefile contrib/bluescope/Top.bsv contrib/bluescope/Memcpy.bsv contrib/noc2d/testnoc2d.cpp contrib/noc2d/Makefile contrib/noc2d/Readme.md contrib/noc2d/Top.bsv contrib/noc2d/Noc2d.bsv contrib/noc2d/NocNode.bsv contrib/bluescopeeventpio/Makefile contrib/bluescopeeventpio/Top.bsv contrib/bluescopeeventpio/SignalGen.bsv contrib/bluescopeeventpio/testbluescopeeventpio.cpp contrib/noc/Makefile contrib/noc/Readme.md contrib/noc/Top.bsv contrib/noc/Noc.bsv contrib/noc/NocNode.bsv contrib/noc/testnoc.cpp contrib/ptest/PTest.bsv.bad contrib/ptest/Makefile contrib/ptest/PTest.bsv contrib/ptest/PTest.bsv.good contrib/portalperf/PortalPerf.bsv contrib/portalperf/Repeat.bsv contrib/portalperf/testportalperf.cpp contrib/portalperf/Makefile contrib/portalperf/Top.bsv contrib/splice/Makefile contrib/splice/Top.bsv contrib/splice/testsplice.cpp contrib/splice/Splice.bsv contrib/smithwaterman/GotohB.bsv contrib/smithwaterman/testsmithwaterman.cpp contrib/smithwaterman/sw.py contrib/smithwaterman/Makefile contrib/smithwaterman/Readme.md contrib/smithwaterman/GotohC.bsv contrib/smithwaterman/Top.bsv contrib/smithwaterman/Smithwaterman.bsv contrib/serialconfig/Serialconfig.bsv contrib/serialconfig/Makefile contrib/serialconfig/Readme.md contrib/serialconfig/testserialconfig.cpp contrib/flowcontrol/Makefile contrib/flowcontrol/Top.bsv contrib/flowcontrol/Sink.bsv contrib/flowcontrol/test.cpp contrib/importverilog/testmain.cpp contrib/importverilog/regfile.v contrib/importverilog/Main.bsv contrib/importverilog/regfile_tb.v contrib/importverilog/.gitignore contrib/importverilog/Makefile contrib/importverilog/Readme.md contrib/bluescopeevent/testbluescopeevent.cpp contrib/bluescopeevent/Makefile contrib/bluescopeevent/Top.bsv contrib/bluescopeevent/SignalGen.bsv contrib/parallella/testmain.cpp contrib/parallella/ParallellaLibDefs.bsv contrib/parallella/parallella.v contrib/parallella/notes.txt contrib/parallella/ELink.bsv contrib/parallella/ParallellaLib.bsv contrib/parallella/Makefile contrib/parallella/Top.bsv contrib/parallella/PParallellaLIB.bsv contrib/perf/Perf.bsv contrib/perf/Makefile contrib/perf/Top.bsv contrib/perf/testperf.cpp contrib/pipe_mul/testpipe_mul.cpp contrib/pipe_mul/PipeMulTB.bsv contrib/pipe_mul/Makefile contrib/pipe_mul/Top.bsv contrib/channelselect/FPCMult.bsv contrib/channelselect/testchannelselecttest.cpp contrib/channelselect/ChannelSelect.bsv contrib/channelselect/ChannelSelectTestInterfaces.bsv contrib/channelselect/ChannelSelectTest.bsv contrib/channelselect/sinetable.c contrib/channelselect/DDSTestInterfaces.bsv contrib/channelselect/DDS.bsv contrib/channelselect/Makefile contrib/channelselect/Readme.md contrib/channelselect/SDRTypes.bsv contrib/channelselect/Top.bsv contrib/channelselect/DDSTest.bsv contrib/fib/Fib.bsv contrib/fib/testfib.cpp contrib/fib/FibNarrow.bsv contrib/fib/Makefile contrib/fib/Readme.md contrib/pipe_mul2/testpipe_mul.cpp contrib/pipe_mul2/PipeMulTB.bsv contrib/pipe_mul2/Makefile contrib/pipe_mul2/Top.bsv contrib/maxcommonsubseq/testmaxcommonsubseq.cpp contrib/maxcommonsubseq/HirschB.bsv contrib/maxcommonsubseq/HirschC.bsv contrib/maxcommonsubseq/Makefile contrib/maxcommonsubseq/hirschberg.py contrib/maxcommonsubseq/HirschA.bsv contrib/maxcommonsubseq/Maxcommonsubseq.bsv contrib/maxcommonsubseq/Top.bsv contrib/maxcommonsubseq/MCSAlgorithm.bsv cpp/DmaBuffer.h cpp/runpython.cpp cpp/dmaSendFd.h cpp/timer.c cpp/verilatortop.cpp cpp/portalPython.cpp cpp/TlpReplay.cpp cpp/transportXsim.c cpp/dmaManager.c cpp/XsimTop.h cpp/bluesim_main.cxx cpp/BsimDma.cpp cpp/sock_utils.h cpp/manualMMUIndication.h cpp/platformMemory.cpp cpp/transportHardware.c cpp/bsim_relay.c cpp/transportSerial.c cpp/portalJson.c cpp/portalPrintf.c cpp/kernel_module.c cpp/monkit.h cpp/transportShared.c cpp/poller.cpp cpp/MMUServer.h cpp/XsimTop.cpp cpp/transportWebSocket.c cpp/DmaBuffer.cpp cpp/portal.h cpp/portalKernel.h cpp/portal.c cpp/sock_utils.c cpp/transportSocket.c cpp/dmaManager.h doc/generated/html/indication-only.png doc/generated/html/request-response-1.png doc/generated/html/portal.html doc/ifdef.md doc/maxcommonsubseq.md doc/SmithWaterman.md doc/android-sdk-screenshots/android-sdk-manager.png doc/android-sdk-screenshots/android-sdk-manager-log.png doc/android-sdk-screenshots/android-sdk-license.png doc/syntax.md doc/makefilegen.md doc/axi_tracing.md doc/server.md doc/previous/portal.asciidoc doc/Makefile doc/library/source/devguide/devguide.rst doc/library/source/devguide/projectstructure.rst doc/library/source/devguide/clocks.rst doc/library/source/devguide/compilingproject.rst doc/library/source/devguide/design.rst doc/library/source/devguide/connectalbuild.pdf doc/library/source/makefile.connectal.rst doc/library/source/conf.py doc/library/source/make.rst doc/library/source/bsvsphinx.py doc/library/source/makefile.connectal.build.rst doc/library/source/examples/simple.rst doc/library/source/examples/index.rst doc/library/source/tools/pcieflat.rst doc/library/source/tools/generate-constraints.rst doc/library/source/tools/makefilegen.rst doc/library/source/tools/tools.rst doc/library/source/tools/topgen.rst doc/library/source/themes/connectal/layout.html doc/library/source/themes/connectal/static/tracking.js_t doc/library/source/themes/connectal/theme.conf doc/library/source/intro.rst doc/library/source/design/host_interface.rst doc/library/source/design/implementing-string-search.rst doc/library/source/design/flowcontrol.rst doc/library/source/design/introduction.rst doc/library/source/design/portal.rst doc/library/source/design/string-search.rst doc/library/source/design/images/msc0.pptx doc/library/source/design/images/data_accel_logical2.pptx doc/library/source/design/images/msc2.pptx doc/library/source/design/images/data_accel_logical1.pdf doc/library/source/design/images/platforms.pdf doc/library/source/design/images/msc2.pdf doc/library/source/design/images/data_accel_logical1.pptx doc/library/source/design/images/data_accel_logical3.pdf doc/library/source/design/images/data_accel_logical4.pdf doc/library/source/design/images/data_accel_logical0.pdf doc/library/source/design/images/data_accel_logical4.pptx doc/library/source/design/images/platforms.pptx doc/library/source/design/images/Makefile doc/library/source/design/images/data_accel_logical0.pptx doc/library/source/design/images/PortalImpl0.pptx doc/library/source/design/images/msc0.pdf doc/library/source/design/images/platform.pptx doc/library/source/design/images/data_accel_logical3.pptx doc/library/source/design/images/data_accel_logical2.pdf doc/library/source/design/images/MemreadEngine.pptx doc/library/source/design/images/MemreadEngine.pdf doc/library/source/design/images/msc1.pdf doc/library/source/design/images/msc1.pptx doc/library/source/design/images/platform.pdf doc/library/source/design/Makefile doc/library/source/design/conclusion.rst doc/library/source/design/connectal-framework.rst doc/library/source/design/references.bib doc/library/source/design/design.rst doc/library/source/design/portalstructure.rst doc/library/source/design/bs-related-papers.bib doc/library/source/design/performance.rst doc/library/source/design/abstract.rst doc/library/source/design/related-work.rst doc/library/source/design/toolchain.rst doc/library/source/design/interface_definitions.rst doc/library/source/bsv/ctrlmux.rst doc/library/source/bsv/pipe.rst doc/library/source/bsv/addressgenerator.rst doc/library/source/bsv/arith.rst doc/library/source/bsv/hostinterface.rst doc/library/source/bsv/leds.rst doc/library/source/bsv/portal.rst doc/library/source/bsv/memreadengine.rst doc/library/source/bsv/axistream.rst doc/library/source/bsv/memtypes.rst doc/library/source/bsv/bsv.rst doc/library/source/bsv/memportal.rst doc/library/source/bsv/mmu.rst doc/library/source/installation.rst doc/library/source/index.rst doc/library/source/c/portal.rst doc/library/source/c/c.rst doc/library/Makefile doc/ReadmePartialReconfiguration.md doc/centos.md drivers/portalmem/portalmem.h drivers/portalmem/portalmem.c drivers/portalmem/Makefile drivers/pcieportal/dkms.conf drivers/pcieportal/linux/dma-buf.h drivers/pcieportal/pcieportal.h drivers/pcieportal/Makefile.dkms drivers/pcieportal/pcieportal.c drivers/pcieportal/Makefile drivers/connectalspi/connectalspi.c drivers/connectalspi/Makefile drivers/zynqportal/zynqportal.h drivers/zynqportal/zynqportal.c drivers/zynqportal/Makefile drivers/connectalsdhci/Makefile drivers/connectalsdhci/connectalsdhci.c etc/modules-load.d/connectal.conf etc/udev/rules.d/52-connectaltest.rules etc/udev/rules.d/52-digilent-usb.rules etc/udev/rules.d/51-connectaltty.rules etc/udev/rules.d/99-pcieportal.rules etc/udev/rules.d/52-altera-usb.rules examples/memread256/Makefile examples/sdcard_spi/SPI.bsv examples/sdcard_spi/Makefile examples/sdcard_spi/sdcard_spi.cpp examples/sdcard_spi/SPITest.bsv examples/sdcard_spi/pin_translation.json examples/sdcard_spi/readme.txt examples/printf/SwallowIF.bsv examples/printf/testecho.cpp examples/printf/Echo.bsv examples/printf/Makefile examples/printf/Top.bsv examples/echojsonpy/old_testecho.py examples/echojsonpy/daemon.cpp examples/echojsonpy/Echo.bsv examples/echojsonpy/Swallow.bsv examples/echojsonpy/Makefile examples/echojsonpy/testecho.py examples/swmemcpy/testswmemcpy.cpp examples/swmemcpy/Makefile examples/swmemcpy/SWmemcpy.bsv examples/echoshared/daemon.cpp examples/echoshared/testecho.cpp examples/echoshared/Echo.bsv examples/echoshared/Makefile examples/readbw/ReadBW.bsv examples/readbw/testreadbw.cpp examples/echo2ind/testecho.cpp examples/echo2ind/Echo.bsv examples/echo2ind/Makefile examples/memread_4m/ReadTest.bsv examples/memread_4m/Makefile examples/gyro_simple/gyro_simple.h examples/gyro_simple/gyroVisualize.py examples/gyro_simple/test_gyro.cpp examples/gyro_simple/test_gyro.py examples/gyro_simple/Makefile examples/gyro_simple/clock.tcl examples/gyro_simple/gyro.h examples/gyro_simple/pinout.json examples/memread128/Makefile examples/gyrospi/STest.bsv examples/gyrospi/testspi.cpp examples/gyrospi/Makefile examples/gyrospi/gyro.h examples/gyrospi/pinout.json examples/hdmidisplay/TestHdmi.pro examples/hdmidisplay/hdmidisplay-bluesim.xdc examples/hdmidisplay/hdmidisplay-zc702.xdc examples/hdmidisplay/HDMI16.bsv examples/hdmidisplay/hdmi.json examples/hdmidisplay/i2c.json examples/hdmidisplay/qtmain.cpp examples/hdmidisplay/testhdmidisplay.cpp examples/hdmidisplay/Makefile examples/hdmidisplay/hdmidisplay-vc707.xdc examples/hdmidisplay/BsimHdmi.cpp examples/hdmidisplay/worker.h examples/hdmidisplay/hdmidisplay-zedboard.xdc examples/memread_simple/ReadTest.bsv examples/memread_simple/testmemread.cpp examples/memread_simple/design_vc707.tcl examples/memread_simple/Makefile examples/memread_simple/vc707_floorplan.xdc examples/memread2/Makefile examples/memread2/Memread2.bsv examples/memread2/testmemread2.cpp examples/simple/testsimple.cpp examples/simple/simple.h examples/simple/Makefile examples/simple/Simple.bsv examples/simple/boards/de5.json examples/simple/boards/htg4.json examples/echohost/testecho.cpp examples/echohost/Echo.bsv examples/echohost/Makefile examples/echohost/vc707_floorplan.xdc examples/fmcomms1/i2c_zedboardandroid.h examples/fmcomms1/testfmcomms1.cpp examples/fmcomms1/FMComms1.bsv examples/fmcomms1/i2c_zedboardandroid.c examples/fmcomms1/FMComms1ADC.bsv examples/fmcomms1/Makefile examples/fmcomms1/readtrace.py examples/fmcomms1/fmci2c.h examples/fmcomms1/Top.bsv examples/fmcomms1/fmcomms1-fmc.json examples/fmcomms1/clock.tcl examples/fmcomms1/extraXilinxCells.bsv examples/fmcomms1/FMComms1Pins.bsv examples/fmcomms1/testi2c.c examples/fmcomms1/FMComms1DAC.bsv examples/fmcomms1/fmci2c.c examples/echoproto/testecho.cpp examples/echoproto/Echo.bsv examples/echoproto/Makefile examples/echoproto/echo.proto examples/portal-synth-boundary/testsimple.cpp examples/portal-synth-boundary/Makefile examples/portal-synth-boundary/Simple.bsv examples/portal-synth-boundary/Top.bsv examples/regexp/jregexp.stateMap examples/regexp/testregexp.cpp examples/regexp/jregexp.stateTransitions examples/regexp/jregexp.charMap examples/regexp/test.bin examples/regexp/Makefile examples/linking/ProcessorTop.bsv examples/linking/Processor.bsv examples/linking/LinkerLib.bsv examples/linking/Processor_Generated.bsv examples/linking/Makefile examples/linking/GetInverse.v examples/nandsim/Makefile examples/nandsim/testnandsim.cpp examples/memlatency/Memlatency.bsv examples/memlatency/Makefile examples/memlatency/testmemlatency.cpp examples/strstr/StrstrExample.bsv examples/strstr/Makefile examples/strstr/teststrstr.cpp examples/memcpy/Makefile examples/memcpy/Memcpy.bsv examples/memcpy/testmemcpy.cpp examples/matmul/Makefile.mmif examples/matmul/design.tcl examples/matmul/Makefile.mm examples/matmul/perf.txt examples/matmul/testmm.cpp examples/matmul/mkZynqTop_flpn.xdc examples/matmul/design-vc707.tcl examples/matmul/Makefile examples/matmul/clocks.tcl examples/matmul/synth-ip.tcl examples/echowebsocket/daemon.cpp examples/echowebsocket/testecho.cpp examples/echowebsocket/Echo.bsv examples/echowebsocket/Swallow.bsv examples/echowebsocket/Makefile examples/imageon/ImageonCapture.bsv examples/imageon/Makefile.dump examples/imageon/imageon-fmc.json examples/imageon/ImageonCapturePins.bsv examples/imageon/testimagecapture.cpp examples/imageon/Makefile examples/imageon/imageon-zedboard.json examples/imageon/i2ccamera.h examples/imageon/clock.tcl examples/imageon/dump_image.cpp examples/echoslow/Echo.bsv examples/echoslow/Makefile examples/memwrite_4m/Memwrite.bsv examples/memwrite_4m/Makefile examples/leds/LedController.bsv examples/leds/Makefile examples/leds/testleds.cpp examples/leds/pinout.json examples/zynqpcie/ZynqPcieTestIF.bsv examples/zynqpcie/SimpleIF.bsv examples/zynqpcie/testzynqpcie.cpp examples/zynqpcie/testsimple.cpp examples/zynqpcie/Makefile examples/zynqpcie/Top.bsv examples/zynqpcie/zynqpcie.json examples/zynqpcie/synth-ip.tcl examples/rbm/Makefile.rbm examples/rbm/testrbm.cpp examples/rbm/LICENSE.txt examples/rbm/Makefile examples/rbm/Readme.md examples/caffe/README.md examples/caffe/INSTALL examples/caffe/Conv.bsv examples/caffe/Makefile examples/echomux/daemon.cpp examples/echomux/testecho.cpp examples/echomux/Services.bsv examples/echomux/Echo.bsv examples/echomux/Makefile examples/bscan/BscanIF.bsv examples/bscan/testbscan.cpp examples/bscan/Makefile examples/bscan/Top.bsv examples/echopy/ubuntu-python-dev.sh examples/echopy/Echo.bsv examples/echopy/Makefile examples/echopy/EchoInterface.bsv examples/echopy/testecho.py examples/echosoft/daemon.cpp examples/echosoft/testecho.cpp examples/echosoft/Echo.bsv examples/echosoft/Swallow.bsv examples/echosoft/Makefile examples/echojson/daemon.cpp examples/echojson/testecho.cpp examples/echojson/Echo.bsv examples/echojson/Swallow.bsv examples/echojson/Makefile examples/algo2_nandsim/jregexp.stateMap examples/algo2_nandsim/jregexp.stateTransitions examples/algo2_nandsim/jregexp.charMap examples/algo2_nandsim/test.bin examples/algo2_nandsim/Makefile examples/algo2_nandsim/Top.bsv examples/algo2_nandsim/test.cpp examples/echotrace/testecho.cpp examples/echotrace/Echo.bsv examples/echotrace/Makefile examples/echotrace/vc707_floorplan.xdc examples/maxsonar_simple/test_maxsonar.cpp examples/maxsonar_simple/maxsonar_simple.h examples/maxsonar_simple/Makefile examples/maxsonar_simple/pinout.json examples/echo/testecho.cpp examples/echo/Echo.bsv examples/echo/Makefile examples/algo1_nandsim/nandsim.cpp examples/algo1_nandsim/test.bin examples/algo1_nandsim/Makefile examples/algo1_nandsim/Algo1NandSim.bsv examples/algo1_nandsim/test.cpp examples/simplesharedhw/testsimple.cpp examples/simplesharedhw/Makefile examples/simplesharedhw/Simple.bsv examples/memwrite128/Makefile examples/hbridge_simple/hbridge_simple.h examples/hbridge_simple/Makefile examples/hbridge_simple/pinout.json examples/hbridge_simple/test_hbridge.cpp examples/memwrite/Memwrite.bsv examples/memwrite/Makefile examples/memwrite/testmemwrite.cpp examples/simplemultibluesim/xsimrun.sh examples/simplemultibluesim/run.sh examples/simplemultibluesim/testsimple.cpp examples/simplemultibluesim/Makefile examples/simplemultibluesim/Link.bsv examples/simplemultibluesim/LinkIF.bsv examples/memread/ReadTest.bsv examples/memread/testmemread.cpp examples/memread/design_vc707.tcl examples/memread/Makefile examples/memread/vc707_floorplan.xdc examples/memcpyslow/Makefile examples/echofast/Makefile examples/zedboard_robot/sonarVisualize.py examples/zedboard_robot/test_zedboard_robot.py examples/zedboard_robot/test_zedboard_robot.cpp examples/zedboard_robot/Controller.bsv examples/zedboard_robot/Makefile examples/zedboard_robot/pinout.json examples/echoinvert/testecho.cpp examples/echoinvert/Echo.bsv examples/echoinvert/Makefile examples/echoinvert/EchoInterface.bsv examples/aurora/testaurora.cpp examples/aurora/aurora.json examples/aurora/Aurora.bsv examples/aurora/Makefile examples/aurora/Top.bsv examples/aurora/BviAurora.bsv examples/aurora/clock.tcl examples/aurora/aurora-clocks.xdc examples/aurora/Gtx.bsv examples/aurora/synth-ip.tcl generated/cpp/GeneratedTypes.h generated/cpp/README generated/cpp/MMURequest.c generated/scripts/generate_bufgcrtl.sh generated/scripts/generate_pciewrapper.sh generated/scripts/generate_bscane2.sh generated/scripts/generate_altera_ddrbvi.sh generated/scripts/generate_pps7lib.sh generated/scripts/generate_pcie3u.sh generated/scripts/generate_zynq_mpsoc.sh generated/scripts/generate_pps7.sh generated/scripts/generate_pcie2wrapper.sh generated/scripts/generate_altera_macbvi.sh generated/scripts/generate_altera_ethbvi.sh generated/scripts/importbvi.py generated/scripts/generate_pipeclock.sh generated/scripts/generate_altera_pciebvi.sh generated/scripts/generate_pcie3.sh generated/scripts/generate_pcie_2_1.sh generated/xilinx/AxiDmaBvi.bsv generated/xilinx/AxiEth1000BaseX.bsv generated/xilinx/ZYNQ_ULTRA.bsv generated/xilinx/PCIEWRAPPER.bsv generated/xilinx/PCIEWRAPPER2.bsv generated/xilinx/PPS7LIB.bsv generated/xilinx/AxiEthBvi.bsv generated/xilinx/AxiIntcBvi.bsv generated/xilinx/AxiDdr3Wrapper.bsv generated/xilinx/PipeClock.bsv generated/xilinx/Bufgctrl.bsv generated/xilinx/PCIEWRAPPER3.bsv generated/xilinx/PCIEWRAPPER3u.bsv generated/xilinx/Ddr3Wrapper.bsv generated/xilinx/PCIE_2_1.bsv generated/xilinx/BscanE2.bsv generated/altera/ALTERA_PCIE_ED_WRAPPER.bsv generated/altera/ALTERA_ETH_PMA_WRAPPER.bsv generated/altera/ALTERA_XCVR_RECONFIG_WRAPPER.bsv generated/altera/ALTERA_PCIE_SIV_WRAPPER.bsv generated/altera/ALTERA_DDR3_WRAPPER.bsv generated/altera/ALTERA_PCIE_SV_WRAPPER.bsv generated/altera/ALTERA_ETH_PMA_RESET_CONTROL_WRAPPER.bsv generated/altera/ALTERA_ETH_PMA_RECONFIG_WRAPPER.bsv generated/altera/ALTERA_PLL_WRAPPER.bsv generated/altera/ALTERA_PCIE_RECONFIG_DRIVER_WRAPPER.bsv gralloc/Android.mk gralloc/gr.h gralloc/README gralloc/Makefile gralloc/bitset gralloc/gralloc.cpp gralloc/mapper.cpp gralloc/gralloc_priv.h jtag/kc705.cfg jtag/kc705program.cfg jtag/zedboard.cfg jtag/digilent-hs1.cfg jtag/pcietrace.cfg jtag/dumptrace.py jtag/zedtrace.cfg jtag/run_trace.sh jtag/run_jtag.sh jtag/README jtag/readll.py jtag/bsd/xc7vx690t_ffg1761.bsd jtag/bsd/xc7z020_clg484.bsd jtag/bsd/xc7k325t_ffg900.bsd jtag/bsd/xc7vx485t_ffg1761.bsd jtag/digilent-hs2.cfg lib/deprecated/BurstFunnel.bsv lib/deprecated/pcietestbench/testpcie.cpp lib/deprecated/pcietestbench/Makefile lib/deprecated/pcietestbench/Top.bsv lib/deprecated/pcietestbench/PcieTestBench.bsv lib/deprecated/RegFileA.bsv lib/deprecated/bsv_Makefile lib/deprecated/pcietestbench_dma_oo/Memread.bsv lib/deprecated/pcietestbench_dma_oo/testpcie.cpp lib/deprecated/pcietestbench_dma_oo/memread_nobuff_oo.tstlp lib/deprecated/pcietestbench_dma_oo/Makefile lib/deprecated/pcietestbench_dma_oo/Top.bsv lib/deprecated/pcietestbench_dma_oo/PcieTestBench.bsv lib/deprecated/OldMemServer.bsv lib/deprecated/pcietestbench_dma_io/Memread.bsv lib/deprecated/pcietestbench_dma_io/testpcie.cpp lib/deprecated/pcietestbench_dma_io/memread_nobuff_io.tstlp lib/deprecated/pcietestbench_dma_io/Makefile lib/deprecated/pcietestbench_dma_io/Top.bsv lib/deprecated/pcietestbench_dma_io/PcieTestBench.bsv lib/deprecated/SGListComb.bsv lib/deprecated/DmaUtils.bsv lib/deprecated/DirectoryRF.bsv lib/regexp/cpp/regexp_utils.h lib/regexp/bsv/Regexp.bsv lib/regexp/bsv/RegexpEngine.bsv lib/nandsim/cpp/nandsim.h lib/nandsim/bsv/NandSim.bsv lib/nandsim/bsv/NandSimNames.bsv lib/strstr/cpp/strstr.h lib/strstr/cpp/mp.h lib/strstr/bsv/MPEngine.bsv lib/strstr/bsv/Strstr.bsv lib/matmul/bar.m lib/matmul/cpp/portalmat.cpp lib/matmul/cpp/portalmat.h lib/matmul/cpp/cuda.cpp lib/matmul/bsv/FpAdd.bsv lib/matmul/bsv/DotProdServer.bsv lib/matmul/bsv/FpMac.bsv lib/matmul/bsv/MatrixTN.bsv lib/matmul/bsv/FloatOps.bsv lib/matmul/bsv/MatrixNT.bsv lib/matmul/bsv/FpMul.bsv lib/matmul/bsv/FpMacTb.bsv lib/cpp/i2chdmi.h lib/cpp/connectal_conv.h lib/cpp/printfInd.h lib/cpp/edid.h lib/cpp/connectal_conv.cpp lib/cpp/connectal_convmm.cpp lib/cpp/userReference.h lib/rbm/cpp/rbm.h lib/rbm/cpp/mnist.h lib/rbm/cpp/rbm.cpp lib/rbm/bsv/Sigmoid.bsv lib/rbm/bsv/RbmTypes.bsv lib/rbm/bsv/DmaVector.bsv lib/rbm/bsv/Timer.bsv lib/rbm/bsv/Rbm.bsv lib/qemu/fpgadev.h lib/qemu/fpgadev.cpp lib/bsv/HdmiDisplay.bsv lib/bsv/Leds.bsv lib/bsv/YUV.bsv lib/bsv/Dma2BRAM.bsv lib/bsv/FrequencyCounter.bsv lib/bsv/BlueScopeEventPIO.bsv lib/bsv/BRAMFIFOFLevel.bsv lib/bsv/PipeMul.bsv lib/bsv/Bscan.bsv lib/bsv/SharedMemoryPortal.bsv lib/bsv/Stack.bsv lib/bsv/IserdesDatadeserIF.bsv lib/bsv/StackReg.bsv lib/bsv/HDMI.bsv lib/bsv/SpiTap.bsv lib/bsv/ConnectalSpi.bsv lib/bsv/ConfigCounter.bsv lib/bsv/BlueScope.bsv lib/bsv/Arith.bsv lib/bsv/BlueScopeEvent.bsv lib/bsv/XADC.bsv lib/bsv/IserdesDatadeser.bsv lib/bsv/SharedMemoryFifo.bsv lib/bsv/SpiRoot.bsv lib/bsv/ImageonVita.bsv lib/nvme/cpp/nvme.cpp lib/nvme/cpp/nvme.h lib/nvme/bsv/NvmeIfc.bsv lib/nvme/bsv/Nvme.bsv lib/nvme/bsv/AxiPcie3RootPort.bsv lib/nvme/bsv/AxiPcieRootPort.bsv lib/nvme/bsv/NvmePins.bsv lib/nvme/tcl/package.tcl lib/zedboard_robot/cpp/read_buffer.h lib/zedboard_robot/cpp/read_buffer.cpp lib/zedboard_robot/bsv/HBridgeController.bsv lib/zedboard_robot/bsv/GyroController.bsv lib/zedboard_robot/bsv/MaxSonarController.bsv pcie/tlp.py pcie/Makefile pcie/pcieflat pcie/testdata.dat scripts/bsv.filter scripts/run.android scripts/connectal-synth-ip.tcl scripts/driver_signature.sed scripts/connectal-synth-axiintc.tcl scripts/check-timing.py scripts/cppgen.py scripts/power.py scripts/extract-bvi-schedule.py scripts/makefilegen.py scripts/globalv.pyc scripts/connectal-synth-axiddr3.tcl scripts/cadb scripts/AST.py scripts/run.android.sh scripts/bsvgen.py scripts/run.parallella.sh scripts/topgen.py scripts/util.pyc scripts/portal.py scripts/syntax/parsetab.pyc scripts/syntax/parsetab.py scripts/syntax/parser.out scripts/connectal-synth-avalonddr3.tcl scripts/connectal-make scripts/boardinfo.py scripts/AST.pyc scripts/cppgen.pyc scripts/deprecated/portaltop-impl.tcl scripts/deprecated/portaltop-synth.tcl scripts/deprecated/mkpcietop-synth.tcl scripts/deprecated/mkpcietop-partial-reconfiguration.tcl scripts/Makefile.connectal.application scripts/Makefile.connectal.build scripts/parse_xdc.py scripts/generate-constraints.py scripts/connectal-synth-axieth.tcl scripts/packagesource.py scripts/discover_icmp.py scripts/util.py scripts/preprocess_trace.py scripts/bsvdepend.py scripts/parse_qsf.py scripts/bsvpreprocess.py scripts/connectal-synth-pcie.tcl scripts/portalJson.py scripts/run.pcietest scripts/Doxyfile scripts/bsvpreprocess.pyc scripts/globalv.py scripts/run_on_daffodil scripts/bsvgen.pyc scripts/connectal-synth-zynq-mpsoc.tcl scripts/adb/__init__.py scripts/adb/common_cli.py scripts/adb/adb_protocol.py scripts/adb/README.rst scripts/adb/filesync_protocol.txt scripts/adb/fastboot_protocol.txt scripts/adb/adb_test.py scripts/adb/common.py scripts/adb/common_stub.py scripts/adb/filesync_protocol.py scripts/adb/adb_commands.py scripts/adb/fastboot.py scripts/adb/fastboot_test.py scripts/adb/usb_exceptions.py scripts/adb/LICENSE scripts/adb/fastboot_debug.py scripts/adb/adb_debug.py scripts/connectal-synth-pll.tcl scripts/syntax.py scripts/bsvdependencies.py scripts/reorderbytes.py scripts/connectal-synth-eth.tcl scripts/connectal-synth-axidma.tcl scripts/discover_tcp.py scripts/connectal-synth-pcie-rp.tcl scripts/run.pcietest.altera tests/testmm32.16.2/Makefile tests/memread_err/Memread.bsv tests/memread_err/testmemread.cpp tests/memread_err/Makefile tests/yuv/YuvIF.bsv tests/yuv/testyuv.cpp tests/yuv/Makefile tests/memserver_write128/Makefile tests/testmm32.32.2/Makefile tests/guard/GuardTest.bsv tests/guard/gtest.c tests/guard/Makefile tests/mifo/testmifo.cpp tests/mifo/MifoTest.bsv tests/mifo/Makefile tests/memread_manyengines/ReadTest.bsv tests/memread_manyengines/Makefile tests/testmm4.4.4/Makefile tests/memcpy_manysglists/Makefile tests/memcpy_manysglists/Top.bsv tests/memcpy_manysglists/testmemcpy.cpp tests/method/Method.bsv tests/method/mtest.cpp tests/method/Makefile tests/dma2bram/Test.bsv tests/dma2bram/Makefile tests/dma2bram/test.cpp tests/rootport/rootport.cpp tests/rootport/rootport.json tests/rootport/AxiPcieRootPort.bsv tests/rootport/gencores.tcl tests/rootport/Makefile tests/rootport/RootPort.bsv tests/rootport/rootport.xdc tests/rootport/RootPortIfc.bsv tests/rootport/RootPortPins.bsv tests/bpiflash/bpiflash.json tests/bpiflash/bpiflash.h tests/bpiflash/BpiFlashTest.bsv tests/bpiflash/I28F512P33.bsv tests/bpiflash/testbpiflash.cpp tests/bpiflash/Makefile tests/bpiflash/i28f512p33.v tests/memserver_write/Memwrite.bsv tests/memserver_write/Makefile tests/memserver_write/testmemwrite.cpp tests/algo1_nandsim_manual/nandsim_manual.c tests/algo1_nandsim_manual/kernel/Makefile tests/algo1_nandsim_manual/algo1.cpp tests/algo1_nandsim_manual/Makefile tests/algo1_nandsim_manual/haystack.txt tests/memserver_copy/Makefile tests/memserver_copy/Memcopy.bsv tests/memserver_copy/testmemcopy.cpp tests/axieth/EthPins.bsv tests/axieth/xsim_export.tcl tests/axieth/AxiEth.bsv tests/axieth/testaxieth.cpp tests/axieth/Makefile tests/axieth/axieth.json tests/axieth/axieth.xdc tests/axieth/axieth.h tests/memwriteengine_test/MemWriteEngineTest.bsv tests/memwriteengine_test/Memwrite.bsv tests/memwriteengine_test/Makefile tests/memwriteengine_test/testmemwrite.cpp tests/spikehw/spikehw.xdc tests/spikehw/spikehw.h tests/spikehw/boot/entry.S tests/spikehw/boot/Makefile tests/spikehw/boot/copybbl.c tests/spikehw/AxiEthSubsystem.bsv tests/spikehw/spikehw.json tests/spikehw/AxiSpiBvi.bsv tests/spikehw/flash.json tests/spikehw/AxiIic.bsv tests/spikehw/AxiEthBufferBvi.bsv tests/spikehw/AxiUart.bsv tests/spikehw/README.md tests/spikehw/bootromx4.hex tests/spikehw/program.tcl tests/spikehw/test-spikehw.cpp tests/spikehw/rtscts.json tests/spikehw/TriModeMacBvi.bsv tests/spikehw/trace.tcl tests/spikehw/spikehw.cpp tests/spikehw/GigEthPcsPmaBvi.bsv tests/spikehw/spikehw-vc709.json tests/spikehw/geneth.tcl tests/spikehw/spikehw-miniitx100.json tests/spikehw/gencores.tcl tests/spikehw/Makefile tests/spikehw/SpikeHwPins.bsv tests/spikehw/SpikeHwIfc.bsv tests/spikehw/SpikeHw.bsv tests/spikehw/eth.json tests/spikehw/i2c-standard.json tests/spikehw/SyncAxisFifo32x1024.bsv tests/spikehw/nfsume.json tests/spikehw/spikehw-vc707g2.json tests/nandsim_manual/nandsim_manual.c tests/nandsim_manual/testnandsim_test.cpp tests/nandsim_manual/kernel/Makefile tests/nandsim_manual/Makefile tests/nandsim_manual/testnandsim.cpp tests/test_pmod/testpmod.cpp tests/test_pmod/Controller.bsv tests/test_pmod/Makefile tests/test_pmod/Top.bsv tests/test_pmod/pinout.json tests/fp/FpTest.bsv tests/fp/Makefile tests/fp/testfp.cpp tests/fp/FpOps.bsv tests/fp/BviFpAdd.bsv tests/fp/synth-ip.tcl tests/ddr3_altera/de5.json tests/ddr3_altera/testddr3.cpp tests/ddr3_altera/Makefile tests/ddr3_altera/Ddr3Test.bsv tests/ddr3_altera/synth-ip.tcl tests/testrbm16.16.2/Makefile tests/testrbm16.16.2/synth-ip.tcl tests/testmm8.8.2/Makefile tests/testmm8.8.2/zc706_floorplan.xdc tests/ipcperf/Makefile tests/ipcperf/testipctest.cpp tests/ipcperf/vc707_floorplan.xdc tests/ipcperf/IpcTest.bsv tests/memserver_copy_slow/Makefile tests/ov7670/Ov7670Controller.bsv tests/ov7670/SCCB.bsv tests/ov7670/Makefile tests/ov7670/Ov7670Interface.bsv tests/ov7670/testcam.cpp tests/ov7670/pinout.json tests/test_spi0/SPI.bsv tests/test_spi0/Makefile tests/test_spi0/Top.bsv tests/test_spi0/foo.cpp tests/test_spi0/test_spi0.cpp tests/float/Makefile tests/float/FloatTest.bsv tests/float/ftest.c tests/partial/Bounce2.bsv tests/partial/testecho.cpp tests/partial/floorplan-zc702.xdc tests/partial/Echo.bsv tests/partial/README tests/partial/Bounce1.bsv tests/partial/Makefile tests/partial/Bounce.bsv tests/partial/Bounce3.bsv tests/physmaster/daemon.cpp tests/physmaster/testecho.cpp tests/physmaster/Echo.bsv tests/physmaster/Makefile tests/physmaster/PhysReq.bsv tests/memserver_copy128/Makefile tests/fastecho/about_this_test.txt tests/fastecho/FastEcho.bsv tests/fastecho/testfastecho.cpp tests/fastecho/Makefile tests/fastecho/synth-ip.tcl tests/nvme_strstr/fmc.json tests/nvme_strstr/nvme.json tests/nvme_strstr/StringSearchIfc.bsv tests/nvme_strstr/nvme.xdc tests/nvme_strstr/NvmeSearch.bsv tests/nvme_strstr/Makefile tests/nvme_strstr/package100.tcl tests/nvme_strstr/main.cpp tests/nvme_strstr/nfsume.json tests/nvme_strstr/synth-ip.tcl tests/testmm2.4.2/Makefile tests/testmm2.4.2/zc706_floorplan.xdc tests/memwrite_trivial/Memwrite.bsv tests/memwrite_trivial/Makefile tests/memwrite_trivial/testmemwrite.cpp tests/memread_manual/ReadTest.bsv tests/memread_manual/kernel/Makefile tests/memread_manual/design_vc707.tcl tests/memread_manual/Makefile tests/memread_manual/memread_manual_manager.c tests/memread_manual/vc707_floorplan.xdc tests/memtopcie_bluesim/Makefile tests/memtopcie_bluesim/Top.bsv tests/bluecheck_harness/harness.py tests/bluecheck_harness/Harness.bsv tests/bluecheck_harness/Makefile tests/nvme_core/string_search.cpp tests/bluecheck-bram/make.sh tests/bluecheck-bram/Bram2Example.bsv tests/bluecheck-bram/BramExample.bsv tests/adapter/Test.bsv tests/adapter/Makefile tests/adapter/test.cpp tests/testldstrex/testldstrex.cpp tests/testldstrex/Makefile tests/memread_manyclients128/Makefile tests/memwrite_acp/Memwrite.bsv tests/memwrite_acp/Makefile tests/memwrite_acp/testmemwrite.cpp tests/algo1_flashmodel/ControllerTypes.bsv tests/algo1_flashmodel/AuroraCommon.bsv tests/algo1_flashmodel/FlashCtrlModel.bsv tests/algo1_flashmodel/Makefile tests/algo1_flashmodel/NandSimMod.bsv tests/algo1_flashmodel/AuroraGearbox.bsv tests/algo1_flashmodel/FlashTop.bsv tests/algo1_flashmodel/Top.bsv tests/algo1_flashmodel/PageBuffers.bsv tests/algo1_flashmodel/ChipscopeWrapper.bsv tests/algo1_flashmodel/AuroraImportFmc1.bsv tests/algo1_flashmodel/NullResetN.bsv tests/algo1_flashmodel/flashaccess.cpp tests/algo1_flashmodel/FlashBusModel.bsv tests/algo1_flashmodel/test.cpp tests/algo1_flashmodel/TopPins.bsv tests/test_sdio1/SDIO.bsv tests/test_sdio1/test_sdio1.cpp tests/test_sdio1/Makefile tests/test_sdio1/Top.bsv tests/test_sdio1/pinout.json tests/testfpmul/Makefile tests/testfpmul/Top.bsv tests/testfpmul/testfpmul.cpp tests/serialportal/serialportal.cpp tests/serialportal/SerialPortalTest.bsv tests/serialportal/Makefile tests/serialportal/SerialPortalIfc.bsv tests/serialportal/rs232.json tests/simmethodtime/Simm.bsv tests/simmethodtime/Makefile tests/simmethodtime/test.cpp tests/testmm_cuda_perf/Makefile tests/testmm_cuda_perf/Readme.md tests/testmm_cuda_perf/run_exe tests/testmm_cuda_perf/cuda_opencv_example/image.jpg tests/testmm_cuda_perf/cuda_opencv_example/Makefile tests/testmm_cuda_perf/cuda_opencv_example/main.cu tests/testmm_cuda_perf/cuda_opencv_example/main.cpp tests/testmm_cuda_perf/zc706_floorplan.xdc tests/testmm_cuda_perf/synth-ip.tcl tests/memwrite_manyclients/Makefile tests/memread_manyclients/Makefile tests/memread_manyclients/performance.txt tests/memwrite_manyclients128/Makefile tests/nvme_test/fmc.json tests/nvme_test/nfsume.xdc tests/nvme_test/impl.tcl tests/nvme_test/miniitx100.json tests/nvme_test/nvme.xdc tests/nvme_test/Makefile tests/nvme_test/main.cpp tests/nvme_test/NvmeTest.bsv tests/nvme_test/nfsume.json tests/nvme_test/synth-ip.tcl tests/testmm4.4.2/Makefile tests/avalon_mm/AvalonBfmWrapper.bsv tests/avalon_mm/verilog/tb.sv tests/avalon_mm/verilog/test_program.v tests/avalon_mm/TestProgram.bsv tests/avalon_mm/testecho.cpp tests/avalon_mm/Echo.bsv tests/avalon_mm/avlm_avls_1x1.qsys tests/avalon_mm/Makefile tests/avalon_mm/Readme.md tests/testmm8.8.4/Makefile tests/testmm16.16.4/Makefile tests/ddr3/testddr3.cpp tests/ddr3/Makefile tests/ddr3/Ddr3Test.bsv tests/ddr3/synth-ip.tcl tests/testmm4.2.2/Makefile tests/simple_manual/kernel/Makefile tests/simple_manual/testsimple.cpp tests/simple_manual/simple_manual.c tests/simple_manual/Makefile tests/simple_manual/Simple.bsv tests/bluecheck-sharedmemfifo/make.sh tests/bluecheck-sharedmemfifo/SharedMemoryFifoCheck.bsv tests/bluecheck-sharedmemfifo/ConnectalProjectConfig.bsv tests/echosoft2/daemon.cpp tests/echosoft2/testecho.cpp tests/echosoft2/EchoId.bsv tests/echosoft2/Makefile tests/testmm16.16.2/Makefile tests/spi/spitest.gtkw tests/spi/Makefile tests/spi/ConnectalProjectConfig.bsv tests/testrbm8.8.2/Makefile tests/testrbm8.8.2/synth-ip.tcl tests/qemuaccel/QemuAccel.bsv tests/qemuaccel/Devices.bsv tests/qemuaccel/Serial.bsv tests/qemuaccel/AccelIfcNames.bsv tests/qemuaccel/AccelTop.bsv tests/qemuaccel/qemuaccel.cpp tests/qemuaccel/BlockDev.bsv tests/qemuaccel/Makefile tests/qemuaccel/QemuAccelIfc.bsv tests/aecho/generated/l_class_OC_EchoIndication.h tests/aecho/generated/l_class_OC_Fifo1.v tests/aecho/generated/l_class_OC_Echo.v tests/aecho/generated/L_class_OC_Fifo1.bsv tests/aecho/generated/l_class_OC_EchoRequest.h tests/aecho/generated/l_class_OC_Fifo1.h tests/aecho/generated/l_class_OC_Echo.cpp tests/aecho/generated/Echo.bsv tests/aecho/generated/l_class_OC_EchoIndication.cpp tests/aecho/generated/EchoVerilog.v tests/aecho/generated/L_class_OC_Fifo.bsv tests/aecho/generated/l_class_OC_Fifo.h tests/aecho/generated/output.h tests/aecho/generated/l_class_OC_EchoRequest.cpp tests/aecho/generated/l_class_OC_Fifo.v tests/aecho/generated/l_class_OC_Fifo1.cpp tests/aecho/generated/output.cpp tests/aecho/generated/l_class_OC_Echo.h tests/aecho/generated/l_class_OC_EchoTest.h tests/aecho/generated/l_class_OC_Fifo.cpp tests/aecho/generated/L_class_OC_Echo.bsv tests/aecho/generated/l_class_OC_EchoTest.cpp tests/aecho/testecho.cpp tests/aecho/Makefile tests/aecho/Echo.orig.bsv tests/aecho/EchoReq.bsv verilog/SyncFIFO.v verilog/CONNECTNET2.v verilog/CONNECTNET.v verilog/XsimLink.sv verilog/xsimtop.sv verilog/XsimDmaReadWrite.sv verilog/GenBIBUF.v verilog/PutInverter.v verilog/LinkInverter.v verilog/XsimSource.sv verilog/FpgaReset.v verilog/PositiveReset.v verilog/SyncFIFO1.v verilog/altera/BRAM2.v verilog/altera/BRAM1.v verilog/altera/siv_gen2x8/siv_gen2x8.v verilog/altera/BRAM1BE.v verilog/XsimFinish.sv verilog/SyncReset.v verilog/XsimSink.sv chmod agu+rx /<>/debian/tmp/usr/share/connectal/scripts/* install -d -m755 /<>/debian/tmp//etc/udev/rules.d /<>/debian/tmp/etc/modules-load.d if [ -d /<>/debian/tmp//etc/modules-load.d ]; then \ for fname in .//etc/modules-load.d/* ; do \ install -m644 $fname /<>/debian/tmp/etc/modules-load.d ; \ done; \ fi echo 'Installing from' /<> Installing from /<> #(cd drivers/pcieportal; CONNECTALDIR=/<> make install) make -C drivers/pcieportal VERSION=17.09.1 CONNECTALDIR=/<> install-dkms make[2]: Entering directory `/<>/drivers/pcieportal' md5sum *.c ../../generated/cpp/*.c | grep -v mod.c | sed -f ../../scripts/driver_signature.sed >pcieportal_signature_file.h make -C ../portalmem portalmem_signature_file.h make[3]: Entering directory `/<>/drivers/portalmem' md5sum *.c | grep -v mod.c | sed -f ../../scripts/driver_signature.sed >portalmem_signature_file.h make[3]: Leaving directory `/<>/drivers/portalmem' mkdir -p /<>/debian/tmp/usr/src/connectal-17.09.1 sed "s/@VERSION@/17.09.1/" dkms.conf | sed "s/@PKG_NAME@/connectal/" > dkms.conf.out sed "s/@VERSION@/17.09.1/" Makefile.dkms > Makefile.dkms.out cp -fv dkms.conf.out /<>/debian/tmp/usr/src/connectal-17.09.1/dkms.conf `dkms.conf.out' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/dkms.conf' cp -fv Makefile.dkms.out /<>/debian/tmp/usr/src/connectal-17.09.1/Makefile `Makefile.dkms.out' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/Makefile' cp -fv pcieportal_signature_file.h pcieportal.c pcieportal.h /<>/debian/tmp/usr/src/connectal-17.09.1 `pcieportal_signature_file.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/pcieportal_signature_file.h' `pcieportal.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/pcieportal.c' `pcieportal.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/pcieportal.h' cp -fv ../../cpp/*.[ch] ../portalmem/*.[ch] \ ../../generated/cpp/*.[ch] \ /<>/debian/tmp/usr/src/connectal-17.09.1 `../../cpp/DmaBuffer.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/DmaBuffer.h' `../../cpp/MMUServer.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/MMUServer.h' `../../cpp/XsimTop.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/XsimTop.h' `../../cpp/bsim_relay.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/bsim_relay.c' `../../cpp/dmaManager.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/dmaManager.c' `../../cpp/dmaManager.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/dmaManager.h' `../../cpp/dmaSendFd.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/dmaSendFd.h' `../../cpp/kernel_module.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/kernel_module.c' `../../cpp/manualMMUIndication.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/manualMMUIndication.h' `../../cpp/monkit.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/monkit.h' `../../cpp/portal.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/portal.c' `../../cpp/portal.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/portal.h' `../../cpp/portalJson.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/portalJson.c' `../../cpp/portalKernel.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/portalKernel.h' `../../cpp/portalPrintf.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/portalPrintf.c' `../../cpp/sock_utils.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/sock_utils.c' `../../cpp/sock_utils.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/sock_utils.h' `../../cpp/timer.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/timer.c' `../../cpp/transportHardware.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/transportHardware.c' `../../cpp/transportSerial.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/transportSerial.c' `../../cpp/transportShared.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/transportShared.c' `../../cpp/transportSocket.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/transportSocket.c' `../../cpp/transportWebSocket.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/transportWebSocket.c' `../../cpp/transportXsim.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/transportXsim.c' `../portalmem/portalmem.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/portalmem.c' `../portalmem/portalmem.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/portalmem.h' `../portalmem/portalmem_signature_file.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/portalmem_signature_file.h' `../../generated/cpp/GeneratedTypes.h' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/GeneratedTypes.h' `../../generated/cpp/MMURequest.c' -> `/<>/debian/tmp/usr/src/connectal-17.09.1/MMURequest.c' sed -i 's|drivers/portalmem/||' /<>/debian/tmp/usr/src/connectal-17.09.1/*.[ch] sed -i 's|drivers/pcieportal/||' /<>/debian/tmp/usr/src/connectal-17.09.1/*.[ch] sed -i 's|drivers/zynqportal/||' /<>/debian/tmp/usr/src/connectal-17.09.1/*.[ch] sed -i 's|../../cpp/||g' /<>/debian/tmp/usr/src/connectal-17.09.1/*.[ch] make[2]: Leaving directory `/<>/drivers/pcieportal' install -m644 etc/modules-load.d/connectal.conf /<>/debian/tmp/etc/modules-load.d make -C pcie install make[2]: Entering directory `/<>/pcie' install -D -m755 pcieflat /<>/debian/tmp/usr/bin/pcieflat make[2]: Leaving directory `/<>/pcie' install -d -m755 /<>/debian/tmp/etc/udev/rules.d for fname in 51-connectaltty.rules 52-altera-usb.rules 52-connectaltest.rules 52-digilent-usb.rules 99-pcieportal.rules ; do \ install -m644 etc/udev/rules.d/$fname /<>/debian/tmp/etc/udev/rules.d ; \ done make[1]: Leaving directory `/<>' dh_install dh_installdocs dh_installchangelogs dh_installexamples dh_installman dh_installcatalogs dh_installcron dh_installdebconf dh_installemacsen dh_installifupdown dh_installinfo dh_dkms dh_installinit dh_installmenu dh_installmime dh_installmodules dh_installlogcheck dh_installlogrotate dh_installpam dh_installppp dh_installudev dh_installwm dh_installxfonts dh_installgsettings dh_bugfiles dh_ucf dh_lintian dh_gconf dh_icons dh_perl dh_usrlocal dh_link dh_compress dh_fixperms dh_strip dh_strip debug symbol extraction: disabling for PPA build dh_strip debug symbol extraction: not doing anything since NO_PKG_MANGLE is given dh_makeshlibs dh_shlibdeps dh_installdeb dh_gencontrol dpkg-gencontrol: warning: Depends field of package connectal: unknown substitution variable ${shlibs:Depends} dh_gencontrol debug symbol wrapper: all non-arch-all packages for this build platform amd64: connectal dh_gencontrol debug symbol wrapper: packages to act on: connectal dh_gencontrol debug symbol wrapper: ignored packages: dh_gencontrol debug symbol wrapper: no debian/connectal-dbgsym, skipping package connectal dh_md5sums dh_builddeb INFO: pkgstriptranslations version 116 INFO: Disabling pkgstriptranslations for PPA build INFO: Disabling pkgmaintainermangler for PPA build INFO: Disabling pkgstripfiles for PPA build dpkg-deb: building package `connectal' in `../connectal_17.09.1-1precise1_amd64.deb'. INFO: pkgstriptranslations version 116 INFO: Disabling pkgstriptranslations for PPA build INFO: Disabling pkgmaintainermangler for PPA build INFO: Disabling pkgstripfiles for PPA build dpkg-deb: building package `connectal-doc' in `../connectal-doc_17.09.1-1precise1_all.deb'. dpkg-genchanges -b -mLaunchpad Build Daemon >../connectal_17.09.1-1precise1_amd64.changes dpkg-genchanges: binary-only upload - not including any source code dpkg-source --after-build connectal-17.09.1 dpkg-buildpackage: binary only upload (no source included) -------------------------------------------------------------------------------- Build finished at 20170912-1637 Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Post Build Chroot | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Changes | +------------------------------------------------------------------------------+ connectal_17.09.1-1precise1_amd64.changes: ------------------------------------------ Format: 1.8 Date: Tue, 12 Sep 2017 12:27:16 -0400 Source: connectal Binary: connectal connectal-doc Architecture: amd64 all Version: 17.09.1-1precise1 Distribution: precise Urgency: medium Maintainer: Launchpad Build Daemon Changed-By: Jamey Hicks Description: connectal - Software-driven hardware development framework connectal-doc - documentation for connectal Changes: connectal (17.09.1-1precise1) precise; urgency=medium . * Updated pcie drivers to build with kernel 4.6.0+ Checksums-Sha1: 9a9d1dcd134992eccf63d6052c720ba11ba296ae 2826518 connectal_17.09.1-1precise1_amd64.deb 33112da748db9b370ebf9b76753224d03abe784d 4946 connectal-doc_17.09.1-1precise1_all.deb Checksums-Sha256: b1f732a44d7f32a68bbb4fa07a2b81c12fcb17b77b2d2c573aa30ef2a6b78f6c 2826518 connectal_17.09.1-1precise1_amd64.deb 6e60d1ba2d17983ee9a501d0b01091778b3bd4be6a3d50602f25ffb4ca30a46c 4946 connectal-doc_17.09.1-1precise1_all.deb Files: 57466d7d3eba5d75fd2f672a1d6b542f 2826518 devel extra connectal_17.09.1-1precise1_amd64.deb 68a79a780bda01fa07646e9d7d42b04d 4946 doc extra connectal-doc_17.09.1-1precise1_all.deb +------------------------------------------------------------------------------+ | Package contents | +------------------------------------------------------------------------------+ connectal_17.09.1-1precise1_amd64.deb ------------------------------------- new debian package, version 2.0. size 2826518 bytes: control archive=39252 bytes. 1082 bytes, 20 lines control 112346 bytes, 1340 lines md5sums 1387 bytes, 42 lines * postinst #!/bin/sh 493 bytes, 13 lines * preinst #!/bin/sh 318 bytes, 14 lines * prerm #!/bin/sh Package: connectal Version: 17.09.1-1precise1 Architecture: amd64 Maintainer: Jamey Hicks Installed-Size: 12947 Depends: dkms (>= 2.1.0.0), python (>= 2.7), python-ply, python-gmpy, python-netifaces, python-gflags, fpgamake, buildcache, fpgajtag, pciescan, gcc, g++, libfontconfig1, libxft2 Section: devel Priority: extra Homepage: https://github.com/cambridgehackers/connectal Description: Software-driven hardware development framework Connectal provides a hardware-software interface for applications split between user mode code and custom hardware in an FPGA. Portal can automatically build the software and hardware glue for a message based interface and also provides for configuring and using shared memory between applications and hardware. Communications between hardware and software are provided by a bidirectional flow of events and regions of memory shared between hardware and software. Events from software to hardware are called requests and events from hardware to software are called indications, but in fact they are symmetric. drwxr-xr-x root/root 0 2017-09-12 16:37 ./ drwxr-xr-x root/root 0 2017-09-12 16:37 ./lib/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./lib/udev/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./lib/udev/rules.d/ -rw-r--r-- root/root 279 2017-09-12 16:37 ./lib/udev/rules.d/40-connectal.rules drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/bin/ -rwxr-xr-x root/root 17027 2017-09-12 16:37 ./usr/bin/pcieflat drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/ -rw-r--r-- root/root 1111 2017-09-12 16:37 ./usr/share/connectal/LICENSE.txt -rw-r--r-- root/root 8155 2017-09-12 16:37 ./usr/share/connectal/Makefile -rw-r--r-- root/root 11971 2017-09-12 16:37 ./usr/share/connectal/Makefile.connectal -rw-r--r-- root/root 16 2017-09-12 16:37 ./usr/share/connectal/Makefile.version -rw-r--r-- root/root 6871 2017-09-12 16:37 ./usr/share/connectal/README.md drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/boardinfo/ -rw-r--r-- root/root 708 2017-09-12 16:37 ./usr/share/connectal/boardinfo/ac701.json -rw-r--r-- root/root 776 2017-09-12 16:37 ./usr/share/connectal/boardinfo/ac701g2.json -rw-r--r-- root/root 325 2017-09-12 16:37 ./usr/share/connectal/boardinfo/asic.json -rw-r--r-- root/root 5548 2017-09-12 16:37 ./usr/share/connectal/boardinfo/bluesim.json -rw-r--r-- root/root 423 2017-09-12 16:37 ./usr/share/connectal/boardinfo/cvc.json -rw-r--r-- root/root 90860 2017-09-12 16:37 ./usr/share/connectal/boardinfo/de5.json -rw-r--r-- root/root 6011 2017-09-12 16:37 ./usr/share/connectal/boardinfo/htg4.json -rw-r--r-- root/root 652 2017-09-12 16:37 ./usr/share/connectal/boardinfo/kc160g2.json -rw-r--r-- root/root 5672 2017-09-12 16:37 ./usr/share/connectal/boardinfo/kc705.json -rw-r--r-- root/root 7605 2017-09-12 16:37 ./usr/share/connectal/boardinfo/kc705g2.json -rw-r--r-- root/root 5132 2017-09-12 16:37 ./usr/share/connectal/boardinfo/kcu105.json -rw-r--r-- root/root 3036 2017-09-12 16:37 ./usr/share/connectal/boardinfo/miniitx100.json -rw-r--r-- root/root 377 2017-09-12 16:37 ./usr/share/connectal/boardinfo/ncverilog.json -rw-r--r-- root/root 25885 2017-09-12 16:37 ./usr/share/connectal/boardinfo/nfsume.json -rw-r--r-- root/root 666 2017-09-12 16:37 ./usr/share/connectal/boardinfo/parallella.json -rw-r--r-- root/root 579 2017-09-12 16:37 ./usr/share/connectal/boardinfo/v2000t.json -rw-r--r-- root/root 7525 2017-09-12 16:37 ./usr/share/connectal/boardinfo/vc707.json -rw-r--r-- root/root 7898 2017-09-12 16:37 ./usr/share/connectal/boardinfo/vc707g2.json -rw-r--r-- root/root 10748 2017-09-12 16:37 ./usr/share/connectal/boardinfo/vc709.json -rw-r--r-- root/root 371 2017-09-12 16:37 ./usr/share/connectal/boardinfo/vcs.json -rw-r--r-- root/root 25773 2017-09-12 16:37 ./usr/share/connectal/boardinfo/vcu108.json -rw-r--r-- root/root 422 2017-09-12 16:37 ./usr/share/connectal/boardinfo/verilator.json -rw-r--r-- root/root 457 2017-09-12 16:37 ./usr/share/connectal/boardinfo/vsim.json -rw-r--r-- root/root 456 2017-09-12 16:37 ./usr/share/connectal/boardinfo/xsim.json -rw-r--r-- root/root 11892 2017-09-12 16:37 ./usr/share/connectal/boardinfo/zc702.json -rw-r--r-- root/root 10370 2017-09-12 16:37 ./usr/share/connectal/boardinfo/zc706.json -rw-r--r-- root/root 10421 2017-09-12 16:37 ./usr/share/connectal/boardinfo/zc706_ubuntu.json -rw-r--r-- root/root 12889 2017-09-12 16:37 ./usr/share/connectal/boardinfo/zcu102.json -rw-r--r-- root/root 14968 2017-09-12 16:37 ./usr/share/connectal/boardinfo/zedboard.json -rw-r--r-- root/root 15023 2017-09-12 16:37 ./usr/share/connectal/boardinfo/zedboard_ubuntu.json -rw-r--r-- root/root 5604 2017-09-12 16:37 ./usr/share/connectal/boardinfo/zybo.json -rw-r--r-- root/root 677 2017-09-12 16:37 ./usr/share/connectal/boardinfo/zynq100.json drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/bsv/ -rw-r--r-- root/root 8597 2017-09-12 16:37 ./usr/share/connectal/bsv/Adapter.bsv -rw-r--r-- root/root 3400 2017-09-12 16:37 ./usr/share/connectal/bsv/AddressGenerator.bsv -rw-r--r-- root/root 3985 2017-09-12 16:37 ./usr/share/connectal/bsv/AsicTop.bsv -rw-r--r-- root/root 2754 2017-09-12 16:37 ./usr/share/connectal/bsv/AvalonBits.bsv -rw-r--r-- root/root 2186 2017-09-12 16:37 ./usr/share/connectal/bsv/AvalonDdr3Controller.bsv -rw-r--r-- root/root 4390 2017-09-12 16:37 ./usr/share/connectal/bsv/AvalonDma.bsv -rw-r--r-- root/root 2712 2017-09-12 16:37 ./usr/share/connectal/bsv/AvalonGather.bsv -rw-r--r-- root/root 2253 2017-09-12 16:37 ./usr/share/connectal/bsv/AvalonMasterSlave.bsv -rw-r--r-- root/root 3102 2017-09-12 16:37 ./usr/share/connectal/bsv/AvalonSplitter.bsv -rw-r--r-- root/root 8400 2017-09-12 16:37 ./usr/share/connectal/bsv/Axi4MasterSlave.bsv -rw-r--r-- root/root 34865 2017-09-12 16:37 ./usr/share/connectal/bsv/AxiBits.bsv -rw-r--r-- root/root 4903 2017-09-12 16:37 ./usr/share/connectal/bsv/AxiDdr3Controller.bsv -rw-r--r-- root/root 10007 2017-09-12 16:37 ./usr/share/connectal/bsv/AxiDma.bsv -rw-r--r-- root/root 15286 2017-09-12 16:37 ./usr/share/connectal/bsv/AxiGather.bsv -rw-r--r-- root/root 6896 2017-09-12 16:37 ./usr/share/connectal/bsv/AxiMasterSlave.bsv -rw-r--r-- root/root 6919 2017-09-12 16:37 ./usr/share/connectal/bsv/AxiStream.bsv -rw-r--r-- root/root 4371 2017-09-12 16:37 ./usr/share/connectal/bsv/BpiFlash.bsv -rw-r--r-- root/root 3994 2017-09-12 16:37 ./usr/share/connectal/bsv/BramMux.bsv -rw-r--r-- root/root 2129 2017-09-12 16:37 ./usr/share/connectal/bsv/CFFIFO.bsv -rw-r--r-- root/root 5408 2017-09-12 16:37 ./usr/share/connectal/bsv/CnocPortal.bsv -rw-r--r-- root/root 8668 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectableWithTrace.bsv -rw-r--r-- root/root 1238 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalAlteraCells.bsv -rw-r--r-- root/root 4895 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalBram.bsv -rw-r--r-- root/root 6710 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalBramFifo.bsv -rw-r--r-- root/root 3915 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalClocks.bsv -rw-r--r-- root/root 4299 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalCompletionBuffer.bsv -rw-r--r-- root/root 1986 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalConfig.bsv -rw-r--r-- root/root 3766 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalMemory.bsv -rw-r--r-- root/root 8701 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalMimo.bsv -rw-r--r-- root/root 1567 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalPrelude.bsv -rw-r--r-- root/root 31092 2017-09-12 16:37 ./usr/share/connectal/bsv/ConnectalXilinxCells.bsv -rw-r--r-- root/root 19178 2017-09-12 16:37 ./usr/share/connectal/bsv/CtrlMux.bsv -rw-r--r-- root/root 1165 2017-09-12 16:37 ./usr/share/connectal/bsv/DisplayInd.bsv -rw-r--r-- root/root 10858 2017-09-12 16:37 ./usr/share/connectal/bsv/Dsp48E1.bsv -rw-r--r-- root/root 5853 2017-09-12 16:37 ./usr/share/connectal/bsv/EHR.bsv -rw-r--r-- root/root 2459 2017-09-12 16:37 ./usr/share/connectal/bsv/EHRM.bsv -rw-r--r-- root/root 2449 2017-09-12 16:37 ./usr/share/connectal/bsv/GearboxGetPut.bsv -rw-r--r-- root/root 1329 2017-09-12 16:37 ./usr/share/connectal/bsv/GetPutM.bsv -rw-r--r-- root/root 12590 2017-09-12 16:37 ./usr/share/connectal/bsv/GetPutWithClocks.bsv -rw-r--r-- root/root 5798 2017-09-12 16:37 ./usr/share/connectal/bsv/HostInterface.bsv -rw-r--r-- root/root 4427 2017-09-12 16:37 ./usr/share/connectal/bsv/LinkerLib.bsv -rw-r--r-- root/root 12599 2017-09-12 16:37 ./usr/share/connectal/bsv/MIFO.bsv -rw-r--r-- root/root 18173 2017-09-12 16:37 ./usr/share/connectal/bsv/MMU.bsv -rw-r--r-- root/root 10810 2017-09-12 16:37 ./usr/share/connectal/bsv/MemPipe.bsv -rw-r--r-- root/root 11570 2017-09-12 16:37 ./usr/share/connectal/bsv/MemReadEngine.bsv -rw-r--r-- root/root 12055 2017-09-12 16:37 ./usr/share/connectal/bsv/MemServer.bsv -rw-r--r-- root/root 20126 2017-09-12 16:37 ./usr/share/connectal/bsv/MemServerInternal.bsv -rw-r--r-- root/root 5207 2017-09-12 16:37 ./usr/share/connectal/bsv/MemServerPortal.bsv -rw-r--r-- root/root 18144 2017-09-12 16:37 ./usr/share/connectal/bsv/MemToPcie.bsv -rw-r--r-- root/root 21120 2017-09-12 16:37 ./usr/share/connectal/bsv/MemTypes.bsv -rw-r--r-- root/root 10284 2017-09-12 16:37 ./usr/share/connectal/bsv/MemUtils.bsv -rw-r--r-- root/root 12142 2017-09-12 16:37 ./usr/share/connectal/bsv/MemWriteEngine.bsv -rw-r--r-- root/root 16927 2017-09-12 16:37 ./usr/share/connectal/bsv/PS4LIB.bsv -rw-r--r-- root/root 27398 2017-09-12 16:37 ./usr/share/connectal/bsv/PS5LIB.bsv -rw-r--r-- root/root 13856 2017-09-12 16:37 ./usr/share/connectal/bsv/PS7LIB.bsv -rw-r--r-- root/root 2816 2017-09-12 16:37 ./usr/share/connectal/bsv/PS7Trace.bsv -rw-r--r-- root/root 17628 2017-09-12 16:37 ./usr/share/connectal/bsv/PS8LIB.bsv -rw-r--r-- root/root 5616 2017-09-12 16:37 ./usr/share/connectal/bsv/ParallellaTop.bsv -rw-r--r-- root/root 17796 2017-09-12 16:37 ./usr/share/connectal/bsv/Pcie1EndpointX7.bsv -rw-r--r-- root/root 11505 2017-09-12 16:37 ./usr/share/connectal/bsv/Pcie2EndpointX7.bsv -rw-r--r-- root/root 24488 2017-09-12 16:37 ./usr/share/connectal/bsv/Pcie3EndpointX7.bsv -rw-r--r-- root/root 29081 2017-09-12 16:37 ./usr/share/connectal/bsv/Pcie3RootPortX7.bsv -rw-r--r-- root/root 13319 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieCsr.bsv -rw-r--r-- root/root 9380 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieEndpointS5.bsv -rw-r--r-- root/root 13826 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieEndpointS5Test.bsv -rw-r--r-- root/root 4022 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieGearbox.bsv -rw-r--r-- root/root 12915 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieHost.bsv -rw-r--r-- root/root 1641 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieRootDevice.bsv -rw-r--r-- root/root 8175 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieRootPortX7.bsv -rw-r--r-- root/root 5819 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieSplitter.bsv -rw-r--r-- root/root 2736 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieStateChanges.bsv -rw-r--r-- root/root 13687 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieToMem.bsv -rw-r--r-- root/root 5614 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieTop.bsv -rw-r--r-- root/root 9588 2017-09-12 16:37 ./usr/share/connectal/bsv/PcieTracer.bsv -rw-r--r-- root/root 12066 2017-09-12 16:37 ./usr/share/connectal/bsv/PhysMemSlaveFromBram.bsv -rw-r--r-- root/root 37107 2017-09-12 16:37 ./usr/share/connectal/bsv/Pipe.bsv -rw-r--r-- root/root 7805 2017-09-12 16:37 ./usr/share/connectal/bsv/Platform.bsv -rw-r--r-- root/root 3744 2017-09-12 16:37 ./usr/share/connectal/bsv/Portal.bsv -rw-r--r-- root/root 12751 2017-09-12 16:37 ./usr/share/connectal/bsv/SimDma.bsv -rw-r--r-- root/root 5359 2017-09-12 16:37 ./usr/share/connectal/bsv/SimLink.bsv -rw-r--r-- root/root 6250 2017-09-12 16:37 ./usr/share/connectal/bsv/SyncAxisFifo32x8.bsv -rw-r--r-- root/root 1787 2017-09-12 16:37 ./usr/share/connectal/bsv/SyncBits.bsv -rw-r--r-- root/root 3551 2017-09-12 16:37 ./usr/share/connectal/bsv/Trace.bsv -rw-r--r-- root/root 3346 2017-09-12 16:37 ./usr/share/connectal/bsv/TraceMemClient.bsv -rw-r--r-- root/root 1496 2017-09-12 16:37 ./usr/share/connectal/bsv/XsimIF.bsv -rw-r--r-- root/root 6597 2017-09-12 16:37 ./usr/share/connectal/bsv/XsimTop.bsv -rw-r--r-- root/root 5953 2017-09-12 16:37 ./usr/share/connectal/bsv/ZynqTop.bsv -rw-r--r-- root/root 5039 2017-09-12 16:37 ./usr/share/connectal/bsv/ZynqUltraTop.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/constraints/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/constraints/altera/ -rw-r--r-- root/root 100371 2017-09-12 16:37 ./usr/share/connectal/constraints/altera/de5.qsf -rw-r--r-- root/root 3323 2017-09-12 16:37 ./usr/share/connectal/constraints/altera/de5.sdc -rw-r--r-- root/root 15516 2017-09-12 16:37 ./usr/share/connectal/constraints/altera/htg4.qsf -rw-r--r-- root/root 2804 2017-09-12 16:37 ./usr/share/connectal/constraints/altera/htg4.sdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/ -rw-r--r-- root/root 1738 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/Readme.md -rw-r--r-- root/root 5778 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/ac701.xdc -rw-r--r-- root/root 35 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/bluesim.xdc -rw-r--r-- root/root 35 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/bluesim_pcie.xdc -rw-r--r-- root/root 375 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/cdc.tcl -rw-r--r-- root/root 2244 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/kc160g2.xdc -rw-r--r-- root/root 12973 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/kc705-3.0.xdc -rw-r--r-- root/root 17221 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/kc705-ddr3.prj -rw-r--r-- root/root 4351 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/kc705.xdc -rw-r--r-- root/root 4262 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/kc705g2.xdc -rw-r--r-- root/root 1879 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/kcu105.xdc -rw-r--r-- root/root 12634 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/miniitx100-axiddr3.prj -rw-r--r-- root/root 2078 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/miniitx100.xdc -rw-r--r-- root/root 18040 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/nfsume-axiddr3.prj -rw-r--r-- root/root 4630 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/nfsume.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/ok/ -rw-r--r-- root/root 18287 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/ok/zc7z010clg400.xdc -rw-r--r-- root/root 17890 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/ok/zc7z020clg400.xdc -rw-r--r-- root/root 17890 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/ok/zc7z020clg484.xdc -rw-r--r-- root/root 17957 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/ok/zc7z045ffg900.xdc -rw-r--r-- root/root 17957 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/ok/zc7z100ffg900.xdc -rw-r--r-- root/root 65 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/parallella.xdc -rw-r--r-- root/root 1496 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/pcie-clocks.xdc -rw-r--r-- root/root 18 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/v2000t.xdc -rw-r--r-- root/root 17587 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc707-axiddr3.prj -rw-r--r-- root/root 271 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc707-portal-pblock.xdc -rw-r--r-- root/root 4377 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc707.xdc -rw-r--r-- root/root 6140 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc707_aurora.xdc -rw-r--r-- root/root 42854 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc707_ddr3.xdc -rw-r--r-- root/root 28604 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc707_ddr3_pins.xdc -rw-r--r-- root/root 17587 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc707g2-axiddr3.prj -rw-r--r-- root/root 4103 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc707g2.xdc -rw-r--r-- root/root 1843 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/vc709.xdc -rw-r--r-- root/root 35 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/verilator.xdc -rw-r--r-- root/root 34771 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/xc7z010clg400.xdc -rw-r--r-- root/root 18726 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/xc7z045ffg900.xdc -rw-r--r-- root/root 18709 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/xc7z100ffg900.xdc -rw-r--r-- root/root 17971 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/zc706-axiddr3.prj -rw-r--r-- root/root 2313 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/zc706.xdc -rw-r--r-- root/root 24995 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/zc706_pl_ddr3_pins.xdc -rw-r--r-- root/root 18804 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/zc7z020clg400.xdc -rw-r--r-- root/root 18812 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/zc7z020clg484.xdc -rw-r--r-- root/root 9 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/zcu102.xdc -rw-r--r-- root/root 943 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/zybo.xdc -rw-r--r-- root/root 2018 2017-09-12 16:37 ./usr/share/connectal/constraints/xilinx/zynq100.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescope/ -rw-r--r-- root/root 261 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescope/Makefile -rw-r--r-- root/root 3385 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescope/Memcpy.bsv -rw-r--r-- root/root 4124 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescope/Top.bsv -rw-r--r-- root/root 6509 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescope/testbluescope.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeevent/ -rw-r--r-- root/root 263 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeevent/Makefile -rw-r--r-- root/root 1586 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeevent/SignalGen.bsv -rw-r--r-- root/root 4113 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeevent/Top.bsv -rw-r--r-- root/root 4974 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeevent/testbluescopeevent.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeeventpio/ -rw-r--r-- root/root 275 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeeventpio/Makefile -rw-r--r-- root/root 1539 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeeventpio/SignalGen.bsv -rw-r--r-- root/root 2848 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeeventpio/Top.bsv -rw-r--r-- root/root 4303 2017-09-12 16:37 ./usr/share/connectal/contrib/bluescopeeventpio/testbluescopeeventpio.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/ -rw-r--r-- root/root 6192 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/ChannelSelect.bsv -rw-r--r-- root/root 2837 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/ChannelSelectTest.bsv -rw-r--r-- root/root 1701 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/ChannelSelectTestInterfaces.bsv -rw-r--r-- root/root 3062 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/DDS.bsv -rw-r--r-- root/root 1747 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/DDSTest.bsv -rw-r--r-- root/root 1505 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/DDSTestInterfaces.bsv -rw-r--r-- root/root 4174 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/FPCMult.bsv -rw-r--r-- root/root 435 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/Makefile -rw-r--r-- root/root 1351 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/Readme.md -rw-r--r-- root/root 1276 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/SDRTypes.bsv -rw-r--r-- root/root 2939 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/Top.bsv -rw-r--r-- root/root 1749 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/sinetable.c -rw-r--r-- root/root 3697 2017-09-12 16:37 ./usr/share/connectal/contrib/channelselect/testchannelselecttest.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/fib/ -rw-r--r-- root/root 3537 2017-09-12 16:37 ./usr/share/connectal/contrib/fib/Fib.bsv -rw-r--r-- root/root 4917 2017-09-12 16:37 ./usr/share/connectal/contrib/fib/FibNarrow.bsv -rw-r--r-- root/root 180 2017-09-12 16:37 ./usr/share/connectal/contrib/fib/Makefile -rw-r--r-- root/root 5157 2017-09-12 16:37 ./usr/share/connectal/contrib/fib/Readme.md -rw-r--r-- root/root 2093 2017-09-12 16:37 ./usr/share/connectal/contrib/fib/testfib.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/flowcontrol/ -rw-r--r-- root/root 151 2017-09-12 16:37 ./usr/share/connectal/contrib/flowcontrol/Makefile -rw-r--r-- root/root 1841 2017-09-12 16:37 ./usr/share/connectal/contrib/flowcontrol/Sink.bsv -rw-r--r-- root/root 2075 2017-09-12 16:37 ./usr/share/connectal/contrib/flowcontrol/Top.bsv -rw-r--r-- root/root 2922 2017-09-12 16:37 ./usr/share/connectal/contrib/flowcontrol/test.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/importverilog/ -rw-r--r-- root/root 12 2017-09-12 16:37 ./usr/share/connectal/contrib/importverilog/.gitignore -rw-r--r-- root/root 2733 2017-09-12 16:37 ./usr/share/connectal/contrib/importverilog/Main.bsv -rw-r--r-- root/root 333 2017-09-12 16:37 ./usr/share/connectal/contrib/importverilog/Makefile -rw-r--r-- root/root 304 2017-09-12 16:37 ./usr/share/connectal/contrib/importverilog/Readme.md -rw-r--r-- root/root 1036 2017-09-12 16:37 ./usr/share/connectal/contrib/importverilog/regfile.v -rw-r--r-- root/root 1418 2017-09-12 16:37 ./usr/share/connectal/contrib/importverilog/regfile_tb.v -rw-r--r-- root/root 2374 2017-09-12 16:37 ./usr/share/connectal/contrib/importverilog/testmain.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/ -rw-r--r-- root/root 4258 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/HirschA.bsv -rw-r--r-- root/root 6324 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/HirschB.bsv -rw-r--r-- root/root 7428 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/HirschC.bsv -rw-r--r-- root/root 1399 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/MCSAlgorithm.bsv -rw-r--r-- root/root 295 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/Makefile -rw-r--r-- root/root 8557 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/Maxcommonsubseq.bsv -rw-r--r-- root/root 4246 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/Top.bsv -rw-r--r-- root/root 4885 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/hirschberg.py -rw-r--r-- root/root 8995 2017-09-12 16:37 ./usr/share/connectal/contrib/maxcommonsubseq/testmaxcommonsubseq.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/noc/ -rw-r--r-- root/root 151 2017-09-12 16:37 ./usr/share/connectal/contrib/noc/Makefile -rw-r--r-- root/root 3070 2017-09-12 16:37 ./usr/share/connectal/contrib/noc/Noc.bsv -rw-r--r-- root/root 4774 2017-09-12 16:37 ./usr/share/connectal/contrib/noc/NocNode.bsv -rw-r--r-- root/root 1219 2017-09-12 16:37 ./usr/share/connectal/contrib/noc/Readme.md -rw-r--r-- root/root 2052 2017-09-12 16:37 ./usr/share/connectal/contrib/noc/Top.bsv -rw-r--r-- root/root 3128 2017-09-12 16:37 ./usr/share/connectal/contrib/noc/testnoc.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/noc2d/ -rw-r--r-- root/root 155 2017-09-12 16:37 ./usr/share/connectal/contrib/noc2d/Makefile -rw-r--r-- root/root 4541 2017-09-12 16:37 ./usr/share/connectal/contrib/noc2d/Noc2d.bsv -rw-r--r-- root/root 6823 2017-09-12 16:37 ./usr/share/connectal/contrib/noc2d/NocNode.bsv -rw-r--r-- root/root 1731 2017-09-12 16:37 ./usr/share/connectal/contrib/noc2d/Readme.md -rw-r--r-- root/root 2022 2017-09-12 16:37 ./usr/share/connectal/contrib/noc2d/Top.bsv -rw-r--r-- root/root 3427 2017-09-12 16:37 ./usr/share/connectal/contrib/noc2d/testnoc2d.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/ -rw-r--r-- root/root 15404 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/ELink.bsv -rw-r--r-- root/root 1174 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/Makefile -rw-r--r-- root/root 15801 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/PParallellaLIB.bsv -rw-r--r-- root/root 2241 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/ParallellaLib.bsv -rw-r--r-- root/root 1565 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/ParallellaLibDefs.bsv -rw-r--r-- root/root 2029 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/Top.bsv -rw-r--r-- root/root 3873 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/notes.txt -rw-r--r-- root/root 35890 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/parallella.v -rw-r--r-- root/root 1245 2017-09-12 16:37 ./usr/share/connectal/contrib/parallella/testmain.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/perf/ -rw-r--r-- root/root 199 2017-09-12 16:37 ./usr/share/connectal/contrib/perf/Makefile -rw-r--r-- root/root 6137 2017-09-12 16:37 ./usr/share/connectal/contrib/perf/Perf.bsv -rw-r--r-- root/root 3987 2017-09-12 16:37 ./usr/share/connectal/contrib/perf/Top.bsv -rw-r--r-- root/root 5920 2017-09-12 16:37 ./usr/share/connectal/contrib/perf/testperf.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul/ -rw-r--r-- root/root 171 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul/Makefile -rw-r--r-- root/root 1832 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul/PipeMulTB.bsv -rw-r--r-- root/root 2015 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul/Top.bsv -rw-r--r-- root/root 1792 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul/testpipe_mul.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul2/ -rw-r--r-- root/root 171 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul2/Makefile -rw-r--r-- root/root 1955 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul2/PipeMulTB.bsv -rw-r--r-- root/root 2015 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul2/Top.bsv -rw-r--r-- root/root 1805 2017-09-12 16:37 ./usr/share/connectal/contrib/pipe_mul2/testpipe_mul.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/portalperf/ -rw-r--r-- root/root 190 2017-09-12 16:37 ./usr/share/connectal/contrib/portalperf/Makefile -rw-r--r-- root/root 5988 2017-09-12 16:37 ./usr/share/connectal/contrib/portalperf/PortalPerf.bsv -rw-r--r-- root/root 1526 2017-09-12 16:37 ./usr/share/connectal/contrib/portalperf/Repeat.bsv -rw-r--r-- root/root 2167 2017-09-12 16:37 ./usr/share/connectal/contrib/portalperf/Top.bsv -rw-r--r-- root/root 7129 2017-09-12 16:37 ./usr/share/connectal/contrib/portalperf/testportalperf.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/ptest/ -rw-r--r-- root/root 128 2017-09-12 16:37 ./usr/share/connectal/contrib/ptest/Makefile -rw-r--r-- root/root 1396 2017-09-12 16:37 ./usr/share/connectal/contrib/ptest/PTest.bsv -rw-r--r-- root/root 1396 2017-09-12 16:37 ./usr/share/connectal/contrib/ptest/PTest.bsv.bad -rw-r--r-- root/root 1316 2017-09-12 16:37 ./usr/share/connectal/contrib/ptest/PTest.bsv.good drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/serialconfig/ -rw-r--r-- root/root 234 2017-09-12 16:37 ./usr/share/connectal/contrib/serialconfig/Makefile -rw-r--r-- root/root 1191 2017-09-12 16:37 ./usr/share/connectal/contrib/serialconfig/Readme.md -rw-r--r-- root/root 2257 2017-09-12 16:37 ./usr/share/connectal/contrib/serialconfig/Serialconfig.bsv -rw-r--r-- root/root 3519 2017-09-12 16:37 ./usr/share/connectal/contrib/serialconfig/testserialconfig.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/ -rw-r--r-- root/root 6834 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/GotohB.bsv -rw-r--r-- root/root 10223 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/GotohC.bsv -rw-r--r-- root/root 287 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/Makefile -rw-r--r-- root/root 101 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/Readme.md -rw-r--r-- root/root 5245 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/Smithwaterman.bsv -rw-r--r-- root/root 3937 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/Top.bsv -rw-r--r-- root/root 5343 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/sw.py -rw-r--r-- root/root 4339 2017-09-12 16:37 ./usr/share/connectal/contrib/smithwaterman/testsmithwaterman.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/contrib/splice/ -rw-r--r-- root/root 207 2017-09-12 16:37 ./usr/share/connectal/contrib/splice/Makefile -rw-r--r-- root/root 6590 2017-09-12 16:37 ./usr/share/connectal/contrib/splice/Splice.bsv -rw-r--r-- root/root 4066 2017-09-12 16:37 ./usr/share/connectal/contrib/splice/Top.bsv -rw-r--r-- root/root 4993 2017-09-12 16:37 ./usr/share/connectal/contrib/splice/testsplice.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/cpp/ -rw-r--r-- root/root 5988 2017-09-12 16:37 ./usr/share/connectal/cpp/BsimDma.cpp -rw-r--r-- root/root 2039 2017-09-12 16:37 ./usr/share/connectal/cpp/DmaBuffer.cpp -rw-r--r-- root/root 2154 2017-09-12 16:37 ./usr/share/connectal/cpp/DmaBuffer.h -rw-r--r-- root/root 3741 2017-09-12 16:37 ./usr/share/connectal/cpp/MMUServer.h -rw-r--r-- root/root 2954 2017-09-12 16:37 ./usr/share/connectal/cpp/TlpReplay.cpp -rw-r--r-- root/root 4705 2017-09-12 16:37 ./usr/share/connectal/cpp/XsimTop.cpp -rw-r--r-- root/root 1223 2017-09-12 16:37 ./usr/share/connectal/cpp/XsimTop.h -rw-r--r-- root/root 4590 2017-09-12 16:37 ./usr/share/connectal/cpp/bluesim_main.cxx -rw-r--r-- root/root 3430 2017-09-12 16:37 ./usr/share/connectal/cpp/bsim_relay.c -rw-r--r-- root/root 4727 2017-09-12 16:37 ./usr/share/connectal/cpp/dmaManager.c -rw-r--r-- root/root 3247 2017-09-12 16:37 ./usr/share/connectal/cpp/dmaManager.h -rw-r--r-- root/root 4724 2017-09-12 16:37 ./usr/share/connectal/cpp/dmaSendFd.h -rw-r--r-- root/root 3772 2017-09-12 16:37 ./usr/share/connectal/cpp/kernel_module.c -rw-r--r-- root/root 2328 2017-09-12 16:37 ./usr/share/connectal/cpp/manualMMUIndication.h -rw-r--r-- root/root 3037 2017-09-12 16:37 ./usr/share/connectal/cpp/monkit.h -rw-r--r-- root/root 5930 2017-09-12 16:37 ./usr/share/connectal/cpp/platformMemory.cpp -rw-r--r-- root/root 7582 2017-09-12 16:37 ./usr/share/connectal/cpp/poller.cpp -rw-r--r-- root/root 17418 2017-09-12 16:37 ./usr/share/connectal/cpp/portal.c -rw-r--r-- root/root 15554 2017-09-12 16:37 ./usr/share/connectal/cpp/portal.h -rw-r--r-- root/root 9127 2017-09-12 16:37 ./usr/share/connectal/cpp/portalJson.c -rw-r--r-- root/root 3420 2017-09-12 16:37 ./usr/share/connectal/cpp/portalKernel.h -rw-r--r-- root/root 1369 2017-09-12 16:37 ./usr/share/connectal/cpp/portalPrintf.c -rw-r--r-- root/root 5657 2017-09-12 16:37 ./usr/share/connectal/cpp/portalPython.cpp -rw-r--r-- root/root 1947 2017-09-12 16:37 ./usr/share/connectal/cpp/runpython.cpp -rw-r--r-- root/root 8165 2017-09-12 16:37 ./usr/share/connectal/cpp/sock_utils.c -rw-r--r-- root/root 2284 2017-09-12 16:37 ./usr/share/connectal/cpp/sock_utils.h -rw-r--r-- root/root 3021 2017-09-12 16:37 ./usr/share/connectal/cpp/timer.c -rw-r--r-- root/root 7527 2017-09-12 16:37 ./usr/share/connectal/cpp/transportHardware.c -rw-r--r-- root/root 7457 2017-09-12 16:37 ./usr/share/connectal/cpp/transportSerial.c -rw-r--r-- root/root 7651 2017-09-12 16:37 ./usr/share/connectal/cpp/transportShared.c -rw-r--r-- root/root 12185 2017-09-12 16:37 ./usr/share/connectal/cpp/transportSocket.c -rw-r--r-- root/root 9627 2017-09-12 16:37 ./usr/share/connectal/cpp/transportWebSocket.c -rw-r--r-- root/root 5020 2017-09-12 16:37 ./usr/share/connectal/cpp/transportXsim.c -rw-r--r-- root/root 2780 2017-09-12 16:37 ./usr/share/connectal/cpp/verilatortop.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/ -rw-r--r-- root/root 38 2017-09-12 16:37 ./usr/share/connectal/doc/Makefile -rw-r--r-- root/root 1036 2017-09-12 16:37 ./usr/share/connectal/doc/ReadmePartialReconfiguration.md -rw-r--r-- root/root 6295 2017-09-12 16:37 ./usr/share/connectal/doc/SmithWaterman.md drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/android-sdk-screenshots/ -rw-r--r-- root/root 58649 2017-09-12 16:37 ./usr/share/connectal/doc/android-sdk-screenshots/android-sdk-license.png -rw-r--r-- root/root 56999 2017-09-12 16:37 ./usr/share/connectal/doc/android-sdk-screenshots/android-sdk-manager-log.png -rw-r--r-- root/root 192622 2017-09-12 16:37 ./usr/share/connectal/doc/android-sdk-screenshots/android-sdk-manager.png -rw-r--r-- root/root 1953 2017-09-12 16:37 ./usr/share/connectal/doc/axi_tracing.md -rw-r--r-- root/root 6434 2017-09-12 16:37 ./usr/share/connectal/doc/centos.md drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/generated/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/generated/html/ -rw-r--r-- root/root 3397 2017-09-12 16:37 ./usr/share/connectal/doc/generated/html/indication-only.png -rw-r--r-- root/root 70721 2017-09-12 16:37 ./usr/share/connectal/doc/generated/html/portal.html -rw-r--r-- root/root 4386 2017-09-12 16:37 ./usr/share/connectal/doc/generated/html/request-response-1.png -rw-r--r-- root/root 285 2017-09-12 16:37 ./usr/share/connectal/doc/ifdef.md drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/ -rw-r--r-- root/root 7455 2017-09-12 16:37 ./usr/share/connectal/doc/library/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/ -rw-r--r-- root/root 1169 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/addressgenerator.rst -rw-r--r-- root/root 1104 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/arith.rst -rw-r--r-- root/root 4161 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/axistream.rst -rw-r--r-- root/root 310 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/bsv.rst -rw-r--r-- root/root 534 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/ctrlmux.rst -rw-r--r-- root/root 1087 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/hostinterface.rst -rw-r--r-- root/root 257 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/leds.rst -rw-r--r-- root/root 348 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/memportal.rst -rw-r--r-- root/root 427 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/memreadengine.rst -rw-r--r-- root/root 9286 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/memtypes.rst -rw-r--r-- root/root 5205 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/mmu.rst -rw-r--r-- root/root 8612 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/pipe.rst -rw-r--r-- root/root 2748 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsv/portal.rst -rw-r--r-- root/root 33053 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/bsvsphinx.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/c/ -rw-r--r-- root/root 112 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/c/c.rst -rw-r--r-- root/root 3712 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/c/portal.rst -rw-r--r-- root/root 8764 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/conf.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/ -rw-r--r-- root/root 26 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/Makefile -rw-r--r-- root/root 1431 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/abstract.rst -rw-r--r-- root/root 13880 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/bs-related-papers.bib -rw-r--r-- root/root 1348 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/conclusion.rst -rw-r--r-- root/root 8805 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/connectal-framework.rst -rw-r--r-- root/root 365 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/design.rst -rw-r--r-- root/root 46 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/flowcontrol.rst -rw-r--r-- root/root 50 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/host_interface.rst drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/ -rw-r--r-- root/root 335 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/Makefile -rw-r--r-- root/root 26180 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/MemreadEngine.pdf -rw-r--r-- root/root 40686 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/MemreadEngine.pptx -rw-r--r-- root/root 50264 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/PortalImpl0.pptx -rw-r--r-- root/root 21510 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical0.pdf -rw-r--r-- root/root 39186 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical0.pptx -rw-r--r-- root/root 21992 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical1.pdf -rw-r--r-- root/root 39941 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical1.pptx -rw-r--r-- root/root 22170 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical2.pdf -rw-r--r-- root/root 40871 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical2.pptx -rw-r--r-- root/root 23902 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical3.pdf -rw-r--r-- root/root 41902 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical3.pptx -rw-r--r-- root/root 23799 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical4.pdf -rw-r--r-- root/root 40945 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/data_accel_logical4.pptx -rw-r--r-- root/root 32501 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/msc0.pdf -rw-r--r-- root/root 46233 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/msc0.pptx -rw-r--r-- root/root 31950 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/msc1.pdf -rw-r--r-- root/root 45404 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/msc1.pptx -rw-r--r-- root/root 32084 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/msc2.pdf -rw-r--r-- root/root 46475 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/msc2.pptx -rw-r--r-- root/root 20330 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/platform.pdf -rw-r--r-- root/root 32492 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/platform.pptx -rw-r--r-- root/root 28027 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/platforms.pdf -rw-r--r-- root/root 42787 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/images/platforms.pptx -rw-r--r-- root/root 14716 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/implementing-string-search.rst -rw-r--r-- root/root 75 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/interface_definitions.rst -rw-r--r-- root/root 4555 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/introduction.rst -rw-r--r-- root/root 4352 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/performance.rst -rw-r--r-- root/root 25802 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/portal.rst -rw-r--r-- root/root 3789 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/portalstructure.rst -rw-r--r-- root/root 23613 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/references.bib -rw-r--r-- root/root 2348 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/related-work.rst -rw-r--r-- root/root 8056 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/string-search.rst -rw-r--r-- root/root 6239 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/design/toolchain.rst drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/devguide/ -rw-r--r-- root/root 2122 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/devguide/clocks.rst -rw-r--r-- root/root 2599 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/devguide/compilingproject.rst -rw-r--r-- root/root 71319 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/devguide/connectalbuild.pdf -rw-r--r-- root/root 1392 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/devguide/design.rst -rw-r--r-- root/root 163 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/devguide/devguide.rst -rw-r--r-- root/root 7391 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/devguide/projectstructure.rst drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/examples/ -rw-r--r-- root/root 84 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/examples/index.rst -rw-r--r-- root/root 32 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/examples/simple.rst -rw-r--r-- root/root 635 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/index.rst -rw-r--r-- root/root 4127 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/installation.rst -rw-r--r-- root/root 65 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/intro.rst -rw-r--r-- root/root 180 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/make.rst -rw-r--r-- root/root 3121 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/makefile.connectal.build.rst -rw-r--r-- root/root 4893 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/makefile.connectal.rst drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/themes/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/themes/connectal/ -rw-r--r-- root/root 159 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/themes/connectal/layout.html drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/themes/connectal/static/ -rw-r--r-- root/root 412 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/themes/connectal/static/tracking.js_t -rw-r--r-- root/root 27 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/themes/connectal/theme.conf drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/tools/ -rw-r--r-- root/root 205 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/tools/generate-constraints.rst -rw-r--r-- root/root 5624 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/tools/makefilegen.rst -rw-r--r-- root/root 9592 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/tools/pcieflat.rst -rw-r--r-- root/root 186 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/tools/tools.rst -rw-r--r-- root/root 149 2017-09-12 16:37 ./usr/share/connectal/doc/library/source/tools/topgen.rst -rw-r--r-- root/root 1392 2017-09-12 16:37 ./usr/share/connectal/doc/makefilegen.md -rw-r--r-- root/root 4470 2017-09-12 16:37 ./usr/share/connectal/doc/maxcommonsubseq.md drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/doc/previous/ -rw-r--r-- root/root 29457 2017-09-12 16:37 ./usr/share/connectal/doc/previous/portal.asciidoc -rw-r--r-- root/root 1811 2017-09-12 16:37 ./usr/share/connectal/doc/server.md -rw-r--r-- root/root 11350 2017-09-12 16:37 ./usr/share/connectal/doc/syntax.md drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/drivers/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/drivers/connectalsdhci/ -rw-r--r-- root/root 752 2017-09-12 16:37 ./usr/share/connectal/drivers/connectalsdhci/Makefile -rw-r--r-- root/root 1400 2017-09-12 16:37 ./usr/share/connectal/drivers/connectalsdhci/connectalsdhci.c drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/drivers/connectalspi/ -rw-r--r-- root/root 746 2017-09-12 16:37 ./usr/share/connectal/drivers/connectalspi/Makefile -rw-r--r-- root/root 4998 2017-09-12 16:37 ./usr/share/connectal/drivers/connectalspi/connectalspi.c drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/drivers/pcieportal/ -rw-r--r-- root/root 2910 2017-09-12 16:37 ./usr/share/connectal/drivers/pcieportal/Makefile -rw-r--r-- root/root 99 2017-09-12 16:37 ./usr/share/connectal/drivers/pcieportal/Makefile.dkms -rw-r--r-- root/root 215 2017-09-12 16:37 ./usr/share/connectal/drivers/pcieportal/dkms.conf drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/drivers/pcieportal/linux/ -rw-r--r-- root/root 7430 2017-09-12 16:37 ./usr/share/connectal/drivers/pcieportal/linux/dma-buf.h -rw-r--r-- root/root 35530 2017-09-12 16:37 ./usr/share/connectal/drivers/pcieportal/pcieportal.c -rw-r--r-- root/root 3761 2017-09-12 16:37 ./usr/share/connectal/drivers/pcieportal/pcieportal.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/drivers/portalmem/ -rw-r--r-- root/root 1139 2017-09-12 16:37 ./usr/share/connectal/drivers/portalmem/Makefile -rw-r--r-- root/root 23918 2017-09-12 16:37 ./usr/share/connectal/drivers/portalmem/portalmem.c -rw-r--r-- root/root 2637 2017-09-12 16:37 ./usr/share/connectal/drivers/portalmem/portalmem.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/drivers/zynqportal/ -rw-r--r-- root/root 1552 2017-09-12 16:37 ./usr/share/connectal/drivers/zynqportal/Makefile -rw-r--r-- root/root 25165 2017-09-12 16:37 ./usr/share/connectal/drivers/zynqportal/zynqportal.c -rw-r--r-- root/root 1394 2017-09-12 16:37 ./usr/share/connectal/drivers/zynqportal/zynqportal.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/etc/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/etc/modules-load.d/ -rw-r--r-- root/root 10 2017-09-12 16:37 ./usr/share/connectal/etc/modules-load.d/connectal.conf drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/etc/udev/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/etc/udev/rules.d/ -rw-r--r-- root/root 583 2017-09-12 16:37 ./usr/share/connectal/etc/udev/rules.d/51-connectaltty.rules -rw-r--r-- root/root 95 2017-09-12 16:37 ./usr/share/connectal/etc/udev/rules.d/52-altera-usb.rules -rw-r--r-- root/root 81 2017-09-12 16:37 ./usr/share/connectal/etc/udev/rules.d/52-connectaltest.rules -rw-r--r-- root/root 3778 2017-09-12 16:37 ./usr/share/connectal/etc/udev/rules.d/52-digilent-usb.rules -rw-r--r-- root/root 279 2017-09-12 16:37 ./usr/share/connectal/etc/udev/rules.d/99-pcieportal.rules drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/algo1_nandsim/ -rw-r--r-- root/root 2330 2017-09-12 16:37 ./usr/share/connectal/examples/algo1_nandsim/Algo1NandSim.bsv -rw-r--r-- root/root 774 2017-09-12 16:37 ./usr/share/connectal/examples/algo1_nandsim/Makefile -rw-r--r-- root/root 3093 2017-09-12 16:37 ./usr/share/connectal/examples/algo1_nandsim/nandsim.cpp -rw-r--r-- root/root 64 2017-09-12 16:37 ./usr/share/connectal/examples/algo1_nandsim/test.bin -rw-r--r-- root/root 7756 2017-09-12 16:37 ./usr/share/connectal/examples/algo1_nandsim/test.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/algo2_nandsim/ -rw-r--r-- root/root 604 2017-09-12 16:37 ./usr/share/connectal/examples/algo2_nandsim/Makefile -rw-r--r-- root/root 5054 2017-09-12 16:37 ./usr/share/connectal/examples/algo2_nandsim/Top.bsv -rw-r--r-- root/root 256 2017-09-12 16:37 ./usr/share/connectal/examples/algo2_nandsim/jregexp.charMap -rw-r--r-- root/root 20 2017-09-12 16:37 ./usr/share/connectal/examples/algo2_nandsim/jregexp.stateMap -rw-r--r-- root/root 576 2017-09-12 16:37 ./usr/share/connectal/examples/algo2_nandsim/jregexp.stateTransitions -rw-r--r-- root/root 19454 2017-09-12 16:37 ./usr/share/connectal/examples/algo2_nandsim/test.bin -rw-r--r-- root/root 6768 2017-09-12 16:37 ./usr/share/connectal/examples/algo2_nandsim/test.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/ -rw-r--r-- root/root 8256 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/Aurora.bsv -rw-r--r-- root/root 10610 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/BviAurora.bsv -rw-r--r-- root/root 6087 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/Gtx.bsv -rw-r--r-- root/root 1102 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/Makefile -rw-r--r-- root/root 2175 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/Top.bsv -rw-r--r-- root/root 136 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/aurora-clocks.xdc -rw-r--r-- root/root 772 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/aurora.json -rw-r--r-- root/root 284 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/clock.tcl -rw-r--r-- root/root 206 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/synth-ip.tcl -rw-r--r-- root/root 3323 2017-09-12 16:37 ./usr/share/connectal/examples/aurora/testaurora.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/bscan/ -rw-r--r-- root/root 2456 2017-09-12 16:37 ./usr/share/connectal/examples/bscan/BscanIF.bsv -rw-r--r-- root/root 196 2017-09-12 16:37 ./usr/share/connectal/examples/bscan/Makefile -rw-r--r-- root/root 2123 2017-09-12 16:37 ./usr/share/connectal/examples/bscan/Top.bsv -rw-r--r-- root/root 2211 2017-09-12 16:37 ./usr/share/connectal/examples/bscan/testbscan.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/caffe/ -rw-r--r-- root/root 9714 2017-09-12 16:37 ./usr/share/connectal/examples/caffe/Conv.bsv -rw-r--r-- root/root 233 2017-09-12 16:37 ./usr/share/connectal/examples/caffe/INSTALL -rw-r--r-- root/root 410 2017-09-12 16:37 ./usr/share/connectal/examples/caffe/Makefile -rw-r--r-- root/root 763 2017-09-12 16:37 ./usr/share/connectal/examples/caffe/README.md drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echo/ -rw-r--r-- root/root 2259 2017-09-12 16:37 ./usr/share/connectal/examples/echo/Echo.bsv -rw-r--r-- root/root 223 2017-09-12 16:37 ./usr/share/connectal/examples/echo/Makefile -rw-r--r-- root/root 2766 2017-09-12 16:37 ./usr/share/connectal/examples/echo/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echo2ind/ -rw-r--r-- root/root 2625 2017-09-12 16:37 ./usr/share/connectal/examples/echo2ind/Echo.bsv -rw-r--r-- root/root 274 2017-09-12 16:37 ./usr/share/connectal/examples/echo2ind/Makefile -rw-r--r-- root/root 2953 2017-09-12 16:37 ./usr/share/connectal/examples/echo2ind/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echofast/ -rw-r--r-- root/root 221 2017-09-12 16:37 ./usr/share/connectal/examples/echofast/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echohost/ -rw-r--r-- root/root 2331 2017-09-12 16:37 ./usr/share/connectal/examples/echohost/Echo.bsv -rw-r--r-- root/root 430 2017-09-12 16:37 ./usr/share/connectal/examples/echohost/Makefile -rw-r--r-- root/root 2766 2017-09-12 16:37 ./usr/share/connectal/examples/echohost/testecho.cpp -rw-r--r-- root/root 1377 2017-09-12 16:37 ./usr/share/connectal/examples/echohost/vc707_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echoinvert/ -rw-r--r-- root/root 2423 2017-09-12 16:37 ./usr/share/connectal/examples/echoinvert/Echo.bsv -rw-r--r-- root/root 282 2017-09-12 16:37 ./usr/share/connectal/examples/echoinvert/EchoInterface.bsv -rw-r--r-- root/root 198 2017-09-12 16:37 ./usr/share/connectal/examples/echoinvert/Makefile -rw-r--r-- root/root 2766 2017-09-12 16:37 ./usr/share/connectal/examples/echoinvert/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echojson/ -rw-r--r-- root/root 2261 2017-09-12 16:37 ./usr/share/connectal/examples/echojson/Echo.bsv -rw-r--r-- root/root 250 2017-09-12 16:37 ./usr/share/connectal/examples/echojson/Makefile -rw-r--r-- root/root 1512 2017-09-12 16:37 ./usr/share/connectal/examples/echojson/Swallow.bsv -rw-r--r-- root/root 3599 2017-09-12 16:37 ./usr/share/connectal/examples/echojson/daemon.cpp -rw-r--r-- root/root 2841 2017-09-12 16:37 ./usr/share/connectal/examples/echojson/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echojsonpy/ -rw-r--r-- root/root 2261 2017-09-12 16:37 ./usr/share/connectal/examples/echojsonpy/Echo.bsv -rw-r--r-- root/root 227 2017-09-12 16:37 ./usr/share/connectal/examples/echojsonpy/Makefile -rw-r--r-- root/root 1512 2017-09-12 16:37 ./usr/share/connectal/examples/echojsonpy/Swallow.bsv -rw-r--r-- root/root 2565 2017-09-12 16:37 ./usr/share/connectal/examples/echojsonpy/daemon.cpp -rw-r--r-- root/root 4842 2017-09-12 16:37 ./usr/share/connectal/examples/echojsonpy/old_testecho.py -rw-r--r-- root/root 2113 2017-09-12 16:37 ./usr/share/connectal/examples/echojsonpy/testecho.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echomux/ -rw-r--r-- root/root 2816 2017-09-12 16:37 ./usr/share/connectal/examples/echomux/Echo.bsv -rw-r--r-- root/root 474 2017-09-12 16:37 ./usr/share/connectal/examples/echomux/Makefile -rw-r--r-- root/root 1734 2017-09-12 16:37 ./usr/share/connectal/examples/echomux/Services.bsv -rw-r--r-- root/root 5289 2017-09-12 16:37 ./usr/share/connectal/examples/echomux/daemon.cpp -rw-r--r-- root/root 3844 2017-09-12 16:37 ./usr/share/connectal/examples/echomux/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echoproto/ -rw-r--r-- root/root 1974 2017-09-12 16:37 ./usr/share/connectal/examples/echoproto/Echo.bsv -rw-r--r-- root/root 340 2017-09-12 16:37 ./usr/share/connectal/examples/echoproto/Makefile -rw-r--r-- root/root 596 2017-09-12 16:37 ./usr/share/connectal/examples/echoproto/echo.proto -rw-r--r-- root/root 2492 2017-09-12 16:37 ./usr/share/connectal/examples/echoproto/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echopy/ -rw-r--r-- root/root 1999 2017-09-12 16:37 ./usr/share/connectal/examples/echopy/Echo.bsv -rw-r--r-- root/root 1358 2017-09-12 16:37 ./usr/share/connectal/examples/echopy/EchoInterface.bsv -rw-r--r-- root/root 480 2017-09-12 16:37 ./usr/share/connectal/examples/echopy/Makefile -rw-r--r-- root/root 1925 2017-09-12 16:37 ./usr/share/connectal/examples/echopy/testecho.py -rw-r--r-- root/root 704 2017-09-12 16:37 ./usr/share/connectal/examples/echopy/ubuntu-python-dev.sh drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echoshared/ -rw-r--r-- root/root 2262 2017-09-12 16:37 ./usr/share/connectal/examples/echoshared/Echo.bsv -rw-r--r-- root/root 527 2017-09-12 16:37 ./usr/share/connectal/examples/echoshared/Makefile -rw-r--r-- root/root 3460 2017-09-12 16:37 ./usr/share/connectal/examples/echoshared/daemon.cpp -rw-r--r-- root/root 2589 2017-09-12 16:37 ./usr/share/connectal/examples/echoshared/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echoslow/ -rw-r--r-- root/root 2895 2017-09-12 16:37 ./usr/share/connectal/examples/echoslow/Echo.bsv -rw-r--r-- root/root 314 2017-09-12 16:37 ./usr/share/connectal/examples/echoslow/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echosoft/ -rw-r--r-- root/root 2262 2017-09-12 16:37 ./usr/share/connectal/examples/echosoft/Echo.bsv -rw-r--r-- root/root 250 2017-09-12 16:37 ./usr/share/connectal/examples/echosoft/Makefile -rw-r--r-- root/root 1512 2017-09-12 16:37 ./usr/share/connectal/examples/echosoft/Swallow.bsv -rw-r--r-- root/root 3448 2017-09-12 16:37 ./usr/share/connectal/examples/echosoft/daemon.cpp -rw-r--r-- root/root 2812 2017-09-12 16:37 ./usr/share/connectal/examples/echosoft/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echotrace/ -rw-r--r-- root/root 2262 2017-09-12 16:37 ./usr/share/connectal/examples/echotrace/Echo.bsv -rw-r--r-- root/root 321 2017-09-12 16:37 ./usr/share/connectal/examples/echotrace/Makefile -rw-r--r-- root/root 3505 2017-09-12 16:37 ./usr/share/connectal/examples/echotrace/testecho.cpp -rw-r--r-- root/root 1377 2017-09-12 16:37 ./usr/share/connectal/examples/echotrace/vc707_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/echowebsocket/ -rw-r--r-- root/root 2262 2017-09-12 16:37 ./usr/share/connectal/examples/echowebsocket/Echo.bsv -rw-r--r-- root/root 357 2017-09-12 16:37 ./usr/share/connectal/examples/echowebsocket/Makefile -rw-r--r-- root/root 1512 2017-09-12 16:37 ./usr/share/connectal/examples/echowebsocket/Swallow.bsv -rw-r--r-- root/root 3605 2017-09-12 16:37 ./usr/share/connectal/examples/echowebsocket/daemon.cpp -rw-r--r-- root/root 2847 2017-09-12 16:37 ./usr/share/connectal/examples/echowebsocket/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/ -rw-r--r-- root/root 5055 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/FMComms1.bsv -rw-r--r-- root/root 6829 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/FMComms1ADC.bsv -rw-r--r-- root/root 5633 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/FMComms1DAC.bsv -rw-r--r-- root/root 2271 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/FMComms1Pins.bsv -rw-r--r-- root/root 958 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/Makefile -rw-r--r-- root/root 6085 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/Top.bsv -rw-r--r-- root/root 210 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/clock.tcl -rw-r--r-- root/root 1508 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/extraXilinxCells.bsv -rw-r--r-- root/root 3370 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/fmci2c.c -rw-r--r-- root/root 1251 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/fmci2c.h -rw-r--r-- root/root 9682 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/fmcomms1-fmc.json -rw-r--r-- root/root 4820 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/i2c_zedboardandroid.c -rw-r--r-- root/root 589 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/i2c_zedboardandroid.h -rw-r--r-- root/root 149463 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/readtrace.py -rw-r--r-- root/root 6457 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/testfmcomms1.cpp -rw-r--r-- root/root 4163 2017-09-12 16:37 ./usr/share/connectal/examples/fmcomms1/testi2c.c drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/ -rw-r--r-- root/root 592 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/Makefile -rw-r--r-- root/root 232 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/clock.tcl -rw-r--r-- root/root 1887 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/gyro.h -rw-r--r-- root/root 4333 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/gyroVisualize.py -rw-r--r-- root/root 4257 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/gyro_simple.h -rw-r--r-- root/root 865 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/pinout.json -rw-r--r-- root/root 2908 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/test_gyro.cpp -rw-r--r-- root/root 6205 2017-09-12 16:37 ./usr/share/connectal/examples/gyro_simple/test_gyro.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/gyrospi/ -rw-r--r-- root/root 332 2017-09-12 16:37 ./usr/share/connectal/examples/gyrospi/Makefile -rw-r--r-- root/root 2075 2017-09-12 16:37 ./usr/share/connectal/examples/gyrospi/STest.bsv -rw-r--r-- root/root 1884 2017-09-12 16:37 ./usr/share/connectal/examples/gyrospi/gyro.h -rw-r--r-- root/root 280 2017-09-12 16:37 ./usr/share/connectal/examples/gyrospi/pinout.json -rw-r--r-- root/root 2379 2017-09-12 16:37 ./usr/share/connectal/examples/gyrospi/testspi.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/hbridge_simple/ -rw-r--r-- root/root 465 2017-09-12 16:37 ./usr/share/connectal/examples/hbridge_simple/Makefile -rw-r--r-- root/root 2702 2017-09-12 16:37 ./usr/share/connectal/examples/hbridge_simple/hbridge_simple.h -rw-r--r-- root/root 936 2017-09-12 16:37 ./usr/share/connectal/examples/hbridge_simple/pinout.json -rw-r--r-- root/root 1700 2017-09-12 16:37 ./usr/share/connectal/examples/hbridge_simple/test_hbridge.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/ -rw-r--r-- root/root 2962 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/BsimHdmi.cpp -rw-r--r-- root/root 1748 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/HDMI16.bsv -rw-r--r-- root/root 1638 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/Makefile -rw-r--r-- root/root 115 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/TestHdmi.pro -rw-r--r-- root/root 880 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/hdmi.json -rw-r--r-- root/root 23 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/hdmidisplay-bluesim.xdc -rw-r--r-- root/root 3 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/hdmidisplay-vc707.xdc -rw-r--r-- root/root 4456 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/hdmidisplay-zc702.xdc -rw-r--r-- root/root 4512 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/hdmidisplay-zedboard.xdc -rw-r--r-- root/root 83 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/i2c.json -rw-r--r-- root/root 2896 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/qtmain.cpp -rw-r--r-- root/root 9683 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/testhdmidisplay.cpp -rw-r--r-- root/root 1503 2017-09-12 16:37 ./usr/share/connectal/examples/hdmidisplay/worker.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/ -rw-r--r-- root/root 11307 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/ImageonCapture.bsv -rw-r--r-- root/root 1765 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/ImageonCapturePins.bsv -rw-r--r-- root/root 875 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/Makefile -rw-r--r-- root/root 128 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/Makefile.dump -rw-r--r-- root/root 241 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/clock.tcl -rw-r--r-- root/root 3403 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/dump_image.cpp -rw-r--r-- root/root 5047 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/i2ccamera.h -rw-r--r-- root/root 3615 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/imageon-fmc.json -rw-r--r-- root/root 83 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/imageon-zedboard.json -rw-r--r-- root/root 21755 2017-09-12 16:37 ./usr/share/connectal/examples/imageon/testimagecapture.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/leds/ -rw-r--r-- root/root 2767 2017-09-12 16:37 ./usr/share/connectal/examples/leds/LedController.bsv -rw-r--r-- root/root 302 2017-09-12 16:37 ./usr/share/connectal/examples/leds/Makefile -rw-r--r-- root/root 549 2017-09-12 16:37 ./usr/share/connectal/examples/leds/pinout.json -rw-r--r-- root/root 1873 2017-09-12 16:37 ./usr/share/connectal/examples/leds/testleds.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/linking/ -rw-r--r-- root/root 692 2017-09-12 16:37 ./usr/share/connectal/examples/linking/GetInverse.v -rw-r--r-- root/root 2556 2017-09-12 16:37 ./usr/share/connectal/examples/linking/LinkerLib.bsv -rw-r--r-- root/root 29 2017-09-12 16:37 ./usr/share/connectal/examples/linking/Makefile -rw-r--r-- root/root 802 2017-09-12 16:37 ./usr/share/connectal/examples/linking/Processor.bsv -rw-r--r-- root/root 618 2017-09-12 16:37 ./usr/share/connectal/examples/linking/ProcessorTop.bsv -rw-r--r-- root/root 3138 2017-09-12 16:37 ./usr/share/connectal/examples/linking/Processor_Generated.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/ -rw-r--r-- root/root 329 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/Makefile -rw-r--r-- root/root 2400 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/Makefile.mm -rw-r--r-- root/root 274 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/Makefile.mmif -rw-r--r-- root/root 240 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/clocks.tcl -rw-r--r-- root/root 5534 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/design-vc707.tcl -rw-r--r-- root/root 5530 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/design.tcl -rw-r--r-- root/root 266 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/mkZynqTop_flpn.xdc -rw-r--r-- root/root 5433 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/perf.txt -rw-r--r-- root/root 401 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/synth-ip.tcl -rw-r--r-- root/root 7423 2017-09-12 16:37 ./usr/share/connectal/examples/matmul/testmm.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/maxsonar_simple/ -rw-r--r-- root/root 499 2017-09-12 16:37 ./usr/share/connectal/examples/maxsonar_simple/Makefile -rw-r--r-- root/root 2314 2017-09-12 16:37 ./usr/share/connectal/examples/maxsonar_simple/maxsonar_simple.h -rw-r--r-- root/root 746 2017-09-12 16:37 ./usr/share/connectal/examples/maxsonar_simple/pinout.json -rw-r--r-- root/root 1894 2017-09-12 16:37 ./usr/share/connectal/examples/maxsonar_simple/test_maxsonar.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memcpy/ -rw-r--r-- root/root 310 2017-09-12 16:37 ./usr/share/connectal/examples/memcpy/Makefile -rw-r--r-- root/root 4741 2017-09-12 16:37 ./usr/share/connectal/examples/memcpy/Memcpy.bsv -rw-r--r-- root/root 6628 2017-09-12 16:37 ./usr/share/connectal/examples/memcpy/testmemcpy.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memcpyslow/ -rw-r--r-- root/root 68 2017-09-12 16:37 ./usr/share/connectal/examples/memcpyslow/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memlatency/ -rw-r--r-- root/root 322 2017-09-12 16:37 ./usr/share/connectal/examples/memlatency/Makefile -rw-r--r-- root/root 4395 2017-09-12 16:37 ./usr/share/connectal/examples/memlatency/Memlatency.bsv -rw-r--r-- root/root 3846 2017-09-12 16:37 ./usr/share/connectal/examples/memlatency/testmemlatency.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memread/ -rw-r--r-- root/root 461 2017-09-12 16:37 ./usr/share/connectal/examples/memread/Makefile -rw-r--r-- root/root 5472 2017-09-12 16:37 ./usr/share/connectal/examples/memread/ReadTest.bsv -rw-r--r-- root/root 7757 2017-09-12 16:37 ./usr/share/connectal/examples/memread/design_vc707.tcl -rw-r--r-- root/root 4474 2017-09-12 16:37 ./usr/share/connectal/examples/memread/testmemread.cpp -rw-r--r-- root/root 811 2017-09-12 16:37 ./usr/share/connectal/examples/memread/vc707_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memread128/ -rw-r--r-- root/root 312 2017-09-12 16:37 ./usr/share/connectal/examples/memread128/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memread2/ -rw-r--r-- root/root 253 2017-09-12 16:37 ./usr/share/connectal/examples/memread2/Makefile -rw-r--r-- root/root 5150 2017-09-12 16:37 ./usr/share/connectal/examples/memread2/Memread2.bsv -rw-r--r-- root/root 4343 2017-09-12 16:37 ./usr/share/connectal/examples/memread2/testmemread2.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memread256/ -rw-r--r-- root/root 312 2017-09-12 16:37 ./usr/share/connectal/examples/memread256/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memread_4m/ -rw-r--r-- root/root 370 2017-09-12 16:37 ./usr/share/connectal/examples/memread_4m/Makefile -rw-r--r-- root/root 5221 2017-09-12 16:37 ./usr/share/connectal/examples/memread_4m/ReadTest.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memread_simple/ -rw-r--r-- root/root 251 2017-09-12 16:37 ./usr/share/connectal/examples/memread_simple/Makefile -rw-r--r-- root/root 3339 2017-09-12 16:37 ./usr/share/connectal/examples/memread_simple/ReadTest.bsv -rw-r--r-- root/root 7757 2017-09-12 16:37 ./usr/share/connectal/examples/memread_simple/design_vc707.tcl -rw-r--r-- root/root 2435 2017-09-12 16:37 ./usr/share/connectal/examples/memread_simple/testmemread.cpp -rw-r--r-- root/root 811 2017-09-12 16:37 ./usr/share/connectal/examples/memread_simple/vc707_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite/ -rw-r--r-- root/root 345 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite/Makefile -rw-r--r-- root/root 5252 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite/Memwrite.bsv -rw-r--r-- root/root 4654 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite/testmemwrite.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite128/ -rw-r--r-- root/root 391 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite128/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite_4m/ -rw-r--r-- root/root 321 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite_4m/Makefile -rw-r--r-- root/root 4406 2017-09-12 16:37 ./usr/share/connectal/examples/memwrite_4m/Memwrite.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/nandsim/ -rw-r--r-- root/root 374 2017-09-12 16:37 ./usr/share/connectal/examples/nandsim/Makefile -rw-r--r-- root/root 6770 2017-09-12 16:37 ./usr/share/connectal/examples/nandsim/testnandsim.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/portal-synth-boundary/ -rw-r--r-- root/root 163 2017-09-12 16:37 ./usr/share/connectal/examples/portal-synth-boundary/Makefile -rw-r--r-- root/root 2106 2017-09-12 16:37 ./usr/share/connectal/examples/portal-synth-boundary/Simple.bsv -rw-r--r-- root/root 2184 2017-09-12 16:37 ./usr/share/connectal/examples/portal-synth-boundary/Top.bsv -rw-r--r-- root/root 2014 2017-09-12 16:37 ./usr/share/connectal/examples/portal-synth-boundary/testsimple.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/printf/ -rw-r--r-- root/root 2426 2017-09-12 16:37 ./usr/share/connectal/examples/printf/Echo.bsv -rw-r--r-- root/root 203 2017-09-12 16:37 ./usr/share/connectal/examples/printf/Makefile -rw-r--r-- root/root 1382 2017-09-12 16:37 ./usr/share/connectal/examples/printf/SwallowIF.bsv -rw-r--r-- root/root 2469 2017-09-12 16:37 ./usr/share/connectal/examples/printf/Top.bsv -rw-r--r-- root/root 5174 2017-09-12 16:37 ./usr/share/connectal/examples/printf/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/rbm/ -rw-r--r-- root/root 1080 2017-09-12 16:37 ./usr/share/connectal/examples/rbm/LICENSE.txt -rw-r--r-- root/root 330 2017-09-12 16:37 ./usr/share/connectal/examples/rbm/Makefile -rw-r--r-- root/root 1083 2017-09-12 16:37 ./usr/share/connectal/examples/rbm/Makefile.rbm -rw-r--r-- root/root 190 2017-09-12 16:37 ./usr/share/connectal/examples/rbm/Readme.md -rw-r--r-- root/root 3521 2017-09-12 16:37 ./usr/share/connectal/examples/rbm/testrbm.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/readbw/ -rw-r--r-- root/root 6742 2017-09-12 16:37 ./usr/share/connectal/examples/readbw/ReadBW.bsv -rw-r--r-- root/root 5737 2017-09-12 16:37 ./usr/share/connectal/examples/readbw/testreadbw.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/regexp/ -rw-r--r-- root/root 624 2017-09-12 16:37 ./usr/share/connectal/examples/regexp/Makefile -rw-r--r-- root/root 256 2017-09-12 16:37 ./usr/share/connectal/examples/regexp/jregexp.charMap -rw-r--r-- root/root 20 2017-09-12 16:37 ./usr/share/connectal/examples/regexp/jregexp.stateMap -rw-r--r-- root/root 576 2017-09-12 16:37 ./usr/share/connectal/examples/regexp/jregexp.stateTransitions -rw-r--r-- root/root 19454 2017-09-12 16:37 ./usr/share/connectal/examples/regexp/test.bin -rw-r--r-- root/root 4000 2017-09-12 16:37 ./usr/share/connectal/examples/regexp/testregexp.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/sdcard_spi/ -rw-r--r-- root/root 393 2017-09-12 16:37 ./usr/share/connectal/examples/sdcard_spi/Makefile -rw-r--r-- root/root 4682 2017-09-12 16:37 ./usr/share/connectal/examples/sdcard_spi/SPI.bsv -rw-r--r-- root/root 1527 2017-09-12 16:37 ./usr/share/connectal/examples/sdcard_spi/SPITest.bsv -rw-r--r-- root/root 334 2017-09-12 16:37 ./usr/share/connectal/examples/sdcard_spi/pin_translation.json -rw-r--r-- root/root 555 2017-09-12 16:37 ./usr/share/connectal/examples/sdcard_spi/readme.txt -rw-r--r-- root/root 11116 2017-09-12 16:37 ./usr/share/connectal/examples/sdcard_spi/sdcard_spi.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/simple/ -rw-r--r-- root/root 290 2017-09-12 16:37 ./usr/share/connectal/examples/simple/Makefile -rw-r--r-- root/root 5029 2017-09-12 16:37 ./usr/share/connectal/examples/simple/Simple.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/simple/boards/ -rw-r--r-- root/root 1804 2017-09-12 16:37 ./usr/share/connectal/examples/simple/boards/de5.json -rw-r--r-- root/root 1800 2017-09-12 16:37 ./usr/share/connectal/examples/simple/boards/htg4.json -rw-r--r-- root/root 4702 2017-09-12 16:37 ./usr/share/connectal/examples/simple/simple.h -rw-r--r-- root/root 2983 2017-09-12 16:37 ./usr/share/connectal/examples/simple/testsimple.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/simplemultibluesim/ -rw-r--r-- root/root 3148 2017-09-12 16:37 ./usr/share/connectal/examples/simplemultibluesim/Link.bsv -rw-r--r-- root/root 1358 2017-09-12 16:37 ./usr/share/connectal/examples/simplemultibluesim/LinkIF.bsv -rw-r--r-- root/root 247 2017-09-12 16:37 ./usr/share/connectal/examples/simplemultibluesim/Makefile -rw-r--r-- root/root 315 2017-09-12 16:37 ./usr/share/connectal/examples/simplemultibluesim/run.sh -rw-r--r-- root/root 6251 2017-09-12 16:37 ./usr/share/connectal/examples/simplemultibluesim/testsimple.cpp -rw-r--r-- root/root 347 2017-09-12 16:37 ./usr/share/connectal/examples/simplemultibluesim/xsimrun.sh drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/simplesharedhw/ -rw-r--r-- root/root 634 2017-09-12 16:37 ./usr/share/connectal/examples/simplesharedhw/Makefile -rw-r--r-- root/root 3861 2017-09-12 16:37 ./usr/share/connectal/examples/simplesharedhw/Simple.bsv -rw-r--r-- root/root 6063 2017-09-12 16:37 ./usr/share/connectal/examples/simplesharedhw/testsimple.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/strstr/ -rw-r--r-- root/root 362 2017-09-12 16:37 ./usr/share/connectal/examples/strstr/Makefile -rw-r--r-- root/root 1579 2017-09-12 16:37 ./usr/share/connectal/examples/strstr/StrstrExample.bsv -rw-r--r-- root/root 7409 2017-09-12 16:37 ./usr/share/connectal/examples/strstr/teststrstr.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/swmemcpy/ -rw-r--r-- root/root 147 2017-09-12 16:37 ./usr/share/connectal/examples/swmemcpy/Makefile -rw-r--r-- root/root 1500 2017-09-12 16:37 ./usr/share/connectal/examples/swmemcpy/SWmemcpy.bsv -rw-r--r-- root/root 3756 2017-09-12 16:37 ./usr/share/connectal/examples/swmemcpy/testswmemcpy.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/zedboard_robot/ -rw-r--r-- root/root 2455 2017-09-12 16:37 ./usr/share/connectal/examples/zedboard_robot/Controller.bsv -rw-r--r-- root/root 1046 2017-09-12 16:37 ./usr/share/connectal/examples/zedboard_robot/Makefile -rw-r--r-- root/root 1437 2017-09-12 16:37 ./usr/share/connectal/examples/zedboard_robot/pinout.json -rw-r--r-- root/root 2186 2017-09-12 16:37 ./usr/share/connectal/examples/zedboard_robot/sonarVisualize.py -rw-r--r-- root/root 5935 2017-09-12 16:37 ./usr/share/connectal/examples/zedboard_robot/test_zedboard_robot.cpp -rw-r--r-- root/root 3594 2017-09-12 16:37 ./usr/share/connectal/examples/zedboard_robot/test_zedboard_robot.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/ -rw-r--r-- root/root 1024 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/Makefile -rw-r--r-- root/root 3081 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/SimpleIF.bsv -rw-r--r-- root/root 9229 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/Top.bsv -rw-r--r-- root/root 2691 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/ZynqPcieTestIF.bsv -rw-r--r-- root/root 1187 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/synth-ip.tcl -rw-r--r-- root/root 4341 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/testsimple.cpp -rw-r--r-- root/root 2462 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/testzynqpcie.cpp -rw-r--r-- root/root 1506 2017-09-12 16:37 ./usr/share/connectal/examples/zynqpcie/zynqpcie.json drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/generated/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ -rw-r--r-- root/root 6730 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_DDR3_WRAPPER.bsv -rw-r--r-- root/root 2559 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_ETH_PMA_RECONFIG_WRAPPER.bsv -rw-r--r-- root/root 3196 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_ETH_PMA_RESET_CONTROL_WRAPPER.bsv -rw-r--r-- root/root 4766 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_ETH_PMA_WRAPPER.bsv -rw-r--r-- root/root 18395 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_PCIE_ED_WRAPPER.bsv -rw-r--r-- root/root 8744 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_PCIE_RECONFIG_DRIVER_WRAPPER.bsv -rw-r--r-- root/root 25776 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_PCIE_SIV_WRAPPER.bsv -rw-r--r-- root/root 33584 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_PCIE_SV_WRAPPER.bsv -rw-r--r-- root/root 1024 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_PLL_WRAPPER.bsv -rw-r--r-- root/root 2709 2017-09-12 16:37 ./usr/share/connectal/generated/altera/ALTERA_XCVR_RECONFIG_WRAPPER.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/generated/cpp/ -rw-r--r-- root/root 12810 2017-09-12 16:37 ./usr/share/connectal/generated/cpp/GeneratedTypes.h -rw-r--r-- root/root 8870 2017-09-12 16:37 ./usr/share/connectal/generated/cpp/MMURequest.c -rw-r--r-- root/root 245 2017-09-12 16:37 ./usr/share/connectal/generated/cpp/README drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/ -rw-r--r-- root/root 357 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_altera_ddrbvi.sh -rw-r--r-- root/root 1130 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_altera_ethbvi.sh -rw-r--r-- root/root 745 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_altera_macbvi.sh -rw-r--r-- root/root 2692 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_altera_pciebvi.sh -rw-r--r-- root/root 200 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_bscane2.sh -rw-r--r-- root/root 196 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_bufgcrtl.sh -rw-r--r-- root/root 3103 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_pcie2wrapper.sh -rw-r--r-- root/root 3244 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_pcie3.sh -rw-r--r-- root/root 3304 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_pcie3u.sh -rw-r--r-- root/root 1200 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_pcie_2_1.sh -rw-r--r-- root/root 616 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_pciewrapper.sh -rw-r--r-- root/root 137 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_pipeclock.sh -rw-r--r-- root/root 1752 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_pps7.sh -rw-r--r-- root/root 909 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_pps7lib.sh -rw-r--r-- root/root 883 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/generate_zynq_mpsoc.sh -rw-r--r-- root/root 29503 2017-09-12 16:37 ./usr/share/connectal/generated/scripts/importbvi.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/ -rw-r--r-- root/root 11271 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/AxiDdr3Wrapper.bsv -rw-r--r-- root/root 21127 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/AxiDmaBvi.bsv -rw-r--r-- root/root 13962 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/AxiEth1000BaseX.bsv -rw-r--r-- root/root 14840 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/AxiEthBvi.bsv -rw-r--r-- root/root 4761 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/AxiIntcBvi.bsv -rw-r--r-- root/root 1541 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/BscanE2.bsv -rw-r--r-- root/root 1386 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/Bufgctrl.bsv -rw-r--r-- root/root 4074 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/Ddr3Wrapper.bsv -rw-r--r-- root/root 34142 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/PCIEWRAPPER.bsv -rw-r--r-- root/root 37665 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/PCIEWRAPPER2.bsv -rw-r--r-- root/root 23280 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/PCIEWRAPPER3.bsv -rw-r--r-- root/root 34946 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/PCIEWRAPPER3u.bsv -rw-r--r-- root/root 60692 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/PCIE_2_1.bsv -rw-r--r-- root/root 101903 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/PPS7LIB.bsv -rw-r--r-- root/root 2644 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/PipeClock.bsv -rw-r--r-- root/root 76775 2017-09-12 16:37 ./usr/share/connectal/generated/xilinx/ZYNQ_ULTRA.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/gralloc/ -rw-r--r-- root/root 798 2017-09-12 16:37 ./usr/share/connectal/gralloc/Android.mk -rw-r--r-- root/root 664 2017-09-12 16:37 ./usr/share/connectal/gralloc/Makefile -rw-r--r-- root/root 835 2017-09-12 16:37 ./usr/share/connectal/gralloc/README -rw-r--r-- root/root 1284 2017-09-12 16:37 ./usr/share/connectal/gralloc/bitset -rw-r--r-- root/root 1957 2017-09-12 16:37 ./usr/share/connectal/gralloc/gr.h -rw-r--r-- root/root 15727 2017-09-12 16:37 ./usr/share/connectal/gralloc/gralloc.cpp -rw-r--r-- root/root 2597 2017-09-12 16:37 ./usr/share/connectal/gralloc/gralloc_priv.h -rw-r--r-- root/root 4991 2017-09-12 16:37 ./usr/share/connectal/gralloc/mapper.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/jtag/ -rw-r--r-- root/root 1603 2017-09-12 16:37 ./usr/share/connectal/jtag/README drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/jtag/bsd/ -rw-r--r-- root/root 122106 2017-09-12 16:37 ./usr/share/connectal/jtag/bsd/xc7k325t_ffg900.bsd -rw-r--r-- root/root 175546 2017-09-12 16:37 ./usr/share/connectal/jtag/bsd/xc7vx485t_ffg1761.bsd -rw-r--r-- root/root 231573 2017-09-12 16:37 ./usr/share/connectal/jtag/bsd/xc7vx690t_ffg1761.bsd -rw-r--r-- root/root 77284 2017-09-12 16:37 ./usr/share/connectal/jtag/bsd/xc7z020_clg484.bsd -rw-r--r-- root/root 474 2017-09-12 16:37 ./usr/share/connectal/jtag/digilent-hs1.cfg -rw-r--r-- root/root 177 2017-09-12 16:37 ./usr/share/connectal/jtag/digilent-hs2.cfg -rw-r--r-- root/root 2622 2017-09-12 16:37 ./usr/share/connectal/jtag/dumptrace.py -rw-r--r-- root/root 1023 2017-09-12 16:37 ./usr/share/connectal/jtag/kc705.cfg -rw-r--r-- root/root 2129 2017-09-12 16:37 ./usr/share/connectal/jtag/kc705program.cfg -rw-r--r-- root/root 972 2017-09-12 16:37 ./usr/share/connectal/jtag/pcietrace.cfg -rw-r--r-- root/root 4708 2017-09-12 16:37 ./usr/share/connectal/jtag/readll.py -rw-r--r-- root/root 66 2017-09-12 16:37 ./usr/share/connectal/jtag/run_jtag.sh -rw-r--r-- root/root 359 2017-09-12 16:37 ./usr/share/connectal/jtag/run_trace.sh -rw-r--r-- root/root 943 2017-09-12 16:37 ./usr/share/connectal/jtag/zedboard.cfg -rw-r--r-- root/root 801 2017-09-12 16:37 ./usr/share/connectal/jtag/zedtrace.cfg drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/ -rw-r--r-- root/root 1999 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/Arith.bsv -rw-r--r-- root/root 4400 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/BRAMFIFOFLevel.bsv -rw-r--r-- root/root 6103 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/BlueScope.bsv -rw-r--r-- root/root 6232 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/BlueScopeEvent.bsv -rw-r--r-- root/root 6110 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/BlueScopeEventPIO.bsv -rw-r--r-- root/root 7020 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/Bscan.bsv -rw-r--r-- root/root 2345 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/ConfigCounter.bsv -rw-r--r-- root/root 10299 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/ConnectalSpi.bsv -rw-r--r-- root/root 10845 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/Dma2BRAM.bsv -rw-r--r-- root/root 2851 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/FrequencyCounter.bsv -rw-r--r-- root/root 13338 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/HDMI.bsv -rw-r--r-- root/root 11748 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/HdmiDisplay.bsv -rw-r--r-- root/root 8009 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/ImageonVita.bsv -rw-r--r-- root/root 18273 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/IserdesDatadeser.bsv -rw-r--r-- root/root 2582 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/IserdesDatadeserIF.bsv -rw-r--r-- root/root 1646 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/Leds.bsv -rw-r--r-- root/root 5254 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/PipeMul.bsv -rw-r--r-- root/root 11780 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/SharedMemoryFifo.bsv -rw-r--r-- root/root 8988 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/SharedMemoryPortal.bsv -rw-r--r-- root/root 2772 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/SpiRoot.bsv -rw-r--r-- root/root 3642 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/SpiTap.bsv -rw-r--r-- root/root 2842 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/Stack.bsv -rw-r--r-- root/root 6113 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/StackReg.bsv -rw-r--r-- root/root 1185 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/XADC.bsv -rw-r--r-- root/root 3768 2017-09-12 16:37 ./usr/share/connectal/lib/bsv/YUV.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/cpp/ -rw-r--r-- root/root 11019 2017-09-12 16:37 ./usr/share/connectal/lib/cpp/connectal_conv.cpp -rw-r--r-- root/root 6566 2017-09-12 16:37 ./usr/share/connectal/lib/cpp/connectal_conv.h -rw-r--r-- root/root 8011 2017-09-12 16:37 ./usr/share/connectal/lib/cpp/connectal_convmm.cpp -rw-r--r-- root/root 4016 2017-09-12 16:37 ./usr/share/connectal/lib/cpp/edid.h -rw-r--r-- root/root 5193 2017-09-12 16:37 ./usr/share/connectal/lib/cpp/i2chdmi.h -rw-r--r-- root/root 1323 2017-09-12 16:37 ./usr/share/connectal/lib/cpp/printfInd.h -rw-r--r-- root/root 3591 2017-09-12 16:37 ./usr/share/connectal/lib/cpp/userReference.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/ -rw-r--r-- root/root 5761 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/BurstFunnel.bsv -rw-r--r-- root/root 3283 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/DirectoryRF.bsv -rw-r--r-- root/root 8157 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/DmaUtils.bsv -rw-r--r-- root/root 15100 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/OldMemServer.bsv -rw-r--r-- root/root 5756 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/RegFileA.bsv -rw-r--r-- root/root 11653 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/SGListComb.bsv -rw-r--r-- root/root 911 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/bsv_Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench/ -rw-r--r-- root/root 149 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench/Makefile -rw-r--r-- root/root 5551 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench/PcieTestBench.bsv -rw-r--r-- root/root 1169 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench/Top.bsv -rw-r--r-- root/root 1447 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench/testpcie.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_io/ -rw-r--r-- root/root 149 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_io/Makefile -rw-r--r-- root/root 3284 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_io/Memread.bsv -rw-r--r-- root/root 7578 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_io/PcieTestBench.bsv -rw-r--r-- root/root 1179 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_io/Top.bsv -rw-r--r-- root/root 200704 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_io/memread_nobuff_io.tstlp -rw-r--r-- root/root 3817 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_io/testpcie.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_oo/ -rw-r--r-- root/root 183 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_oo/Makefile -rw-r--r-- root/root 3286 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_oo/Memread.bsv -rw-r--r-- root/root 8256 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_oo/PcieTestBench.bsv -rw-r--r-- root/root 1176 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_oo/Top.bsv -rw-r--r-- root/root 200704 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_oo/memread_nobuff_oo.tstlp -rw-r--r-- root/root 3818 2017-09-12 16:37 ./usr/share/connectal/lib/deprecated/pcietestbench_dma_oo/testpcie.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/ -rw-r--r-- root/root 478 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bar.m drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/ -rw-r--r-- root/root 13941 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/DotProdServer.bsv -rw-r--r-- root/root 5641 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/FloatOps.bsv -rw-r--r-- root/root 4111 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/FpAdd.bsv -rw-r--r-- root/root 25538 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/FpMac.bsv -rw-r--r-- root/root 3264 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/FpMacTb.bsv -rw-r--r-- root/root 3399 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/FpMul.bsv -rw-r--r-- root/root 24794 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/MatrixNT.bsv -rw-r--r-- root/root 23291 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/bsv/MatrixTN.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/cpp/ -rw-r--r-- root/root 2920 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/cpp/cuda.cpp -rw-r--r-- root/root 13021 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/cpp/portalmat.cpp -rw-r--r-- root/root 4827 2017-09-12 16:37 ./usr/share/connectal/lib/matmul/cpp/portalmat.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/nandsim/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/nandsim/bsv/ -rw-r--r-- root/root 9724 2017-09-12 16:37 ./usr/share/connectal/lib/nandsim/bsv/NandSim.bsv -rw-r--r-- root/root 1730 2017-09-12 16:37 ./usr/share/connectal/lib/nandsim/bsv/NandSimNames.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/nandsim/cpp/ -rw-r--r-- root/root 3712 2017-09-12 16:37 ./usr/share/connectal/lib/nandsim/cpp/nandsim.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/bsv/ -rw-r--r-- root/root 19529 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/bsv/AxiPcie3RootPort.bsv -rw-r--r-- root/root 15908 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/bsv/AxiPcieRootPort.bsv -rw-r--r-- root/root 40856 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/bsv/Nvme.bsv -rw-r--r-- root/root 5157 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/bsv/NvmeIfc.bsv -rw-r--r-- root/root 1586 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/bsv/NvmePins.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/cpp/ -rw-r--r-- root/root 30681 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/cpp/nvme.cpp -rw-r--r-- root/root 4219 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/cpp/nvme.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/tcl/ -rw-r--r-- root/root 7881 2017-09-12 16:37 ./usr/share/connectal/lib/nvme/tcl/package.tcl drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/qemu/ -rw-r--r-- root/root 14582 2017-09-12 16:37 ./usr/share/connectal/lib/qemu/fpgadev.cpp -rw-r--r-- root/root 1573 2017-09-12 16:37 ./usr/share/connectal/lib/qemu/fpgadev.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/bsv/ -rw-r--r-- root/root 3803 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/bsv/DmaVector.bsv -rw-r--r-- root/root 15413 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/bsv/Rbm.bsv -rw-r--r-- root/root 4412 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/bsv/RbmTypes.bsv -rw-r--r-- root/root 10825 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/bsv/Sigmoid.bsv -rw-r--r-- root/root 1339 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/bsv/Timer.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/cpp/ -rw-r--r-- root/root 3730 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/cpp/mnist.h -rw-r--r-- root/root 17303 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/cpp/rbm.cpp -rw-r--r-- root/root 3985 2017-09-12 16:37 ./usr/share/connectal/lib/rbm/cpp/rbm.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/regexp/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/regexp/bsv/ -rw-r--r-- root/root 5094 2017-09-12 16:37 ./usr/share/connectal/lib/regexp/bsv/Regexp.bsv -rw-r--r-- root/root 9114 2017-09-12 16:37 ./usr/share/connectal/lib/regexp/bsv/RegexpEngine.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/regexp/cpp/ -rw-r--r-- root/root 3613 2017-09-12 16:37 ./usr/share/connectal/lib/regexp/cpp/regexp_utils.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/strstr/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/strstr/bsv/ -rw-r--r-- root/root 16738 2017-09-12 16:37 ./usr/share/connectal/lib/strstr/bsv/MPEngine.bsv -rw-r--r-- root/root 5397 2017-09-12 16:37 ./usr/share/connectal/lib/strstr/bsv/Strstr.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/strstr/cpp/ -rw-r--r-- root/root 3546 2017-09-12 16:37 ./usr/share/connectal/lib/strstr/cpp/mp.h -rw-r--r-- root/root 1713 2017-09-12 16:37 ./usr/share/connectal/lib/strstr/cpp/strstr.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/zedboard_robot/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/zedboard_robot/bsv/ -rw-r--r-- root/root 6655 2017-09-12 16:37 ./usr/share/connectal/lib/zedboard_robot/bsv/GyroController.bsv -rw-r--r-- root/root 4323 2017-09-12 16:37 ./usr/share/connectal/lib/zedboard_robot/bsv/HBridgeController.bsv -rw-r--r-- root/root 3775 2017-09-12 16:37 ./usr/share/connectal/lib/zedboard_robot/bsv/MaxSonarController.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/lib/zedboard_robot/cpp/ -rw-r--r-- root/root 2777 2017-09-12 16:37 ./usr/share/connectal/lib/zedboard_robot/cpp/read_buffer.cpp -rw-r--r-- root/root 1395 2017-09-12 16:37 ./usr/share/connectal/lib/zedboard_robot/cpp/read_buffer.h drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/out/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/pcie/ -rw-r--r-- root/root 1262 2017-09-12 16:37 ./usr/share/connectal/pcie/Makefile -rw-r--r-- root/root 17027 2017-09-12 16:37 ./usr/share/connectal/pcie/pcieflat -rw-r--r-- root/root 100352 2017-09-12 16:37 ./usr/share/connectal/pcie/testdata.dat -rw-r--r-- root/root 9805 2017-09-12 16:37 ./usr/share/connectal/pcie/tlp.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/scripts/ -rwxr-xr-x root/root 9918 2017-09-12 16:37 ./usr/share/connectal/scripts/AST.py -rwxr-xr-x root/root 14714 2017-09-12 16:37 ./usr/share/connectal/scripts/AST.pyc -rwxr-xr-x root/root 75559 2017-09-12 16:37 ./usr/share/connectal/scripts/Doxyfile -rwxr-xr-x root/root 1585 2017-09-12 16:37 ./usr/share/connectal/scripts/Makefile.connectal.application -rwxr-xr-x root/root 17259 2017-09-12 16:37 ./usr/share/connectal/scripts/Makefile.connectal.build drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/ -rw-r--r-- root/root 10174 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/LICENSE -rw-r--r-- root/root 1230 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/README.rst -rw-r--r-- root/root 0 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/__init__.py -rw-r--r-- root/root 8524 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/adb_commands.py -rw-r--r-- root/root 1725 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/adb_debug.py -rw-r--r-- root/root 13753 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/adb_protocol.py -rw-r--r-- root/root 5822 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/adb_test.py -rw-r--r-- root/root 10046 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/common.py -rw-r--r-- root/root 4827 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/common_cli.py -rw-r--r-- root/root 1176 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/common_stub.py -rw-r--r-- root/root 12612 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/fastboot.py -rw-r--r-- root/root 1803 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/fastboot_debug.py -rw-r--r-- root/root 822 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/fastboot_protocol.txt -rw-r--r-- root/root 5081 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/fastboot_test.py -rw-r--r-- root/root 6376 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/filesync_protocol.py -rw-r--r-- root/root 2394 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/filesync_protocol.txt -rw-r--r-- root/root 2216 2017-09-12 16:37 ./usr/share/connectal/scripts/adb/usb_exceptions.py -rwxr-xr-x root/root 1671 2017-09-12 16:37 ./usr/share/connectal/scripts/boardinfo.py -rwxr-xr-x root/root 13139 2017-09-12 16:37 ./usr/share/connectal/scripts/bsv.filter -rwxr-xr-x root/root 4011 2017-09-12 16:37 ./usr/share/connectal/scripts/bsvdepend.py -rwxr-xr-x root/root 4522 2017-09-12 16:37 ./usr/share/connectal/scripts/bsvdependencies.py -rwxr-xr-x root/root 19580 2017-09-12 16:37 ./usr/share/connectal/scripts/bsvgen.py -rwxr-xr-x root/root 18180 2017-09-12 16:37 ./usr/share/connectal/scripts/bsvgen.pyc -rwxr-xr-x root/root 7492 2017-09-12 16:37 ./usr/share/connectal/scripts/bsvpreprocess.py -rwxr-xr-x root/root 4486 2017-09-12 16:37 ./usr/share/connectal/scripts/bsvpreprocess.pyc -rwxr-xr-x root/root 2921 2017-09-12 16:37 ./usr/share/connectal/scripts/cadb -rwxr-xr-x root/root 1091 2017-09-12 16:37 ./usr/share/connectal/scripts/check-timing.py -rwxr-xr-x root/root 54 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-make -rwxr-xr-x root/root 7930 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-avalonddr3.tcl -rwxr-xr-x root/root 435 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-axiddr3.tcl -rwxr-xr-x root/root 411 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-axidma.tcl -rwxr-xr-x root/root 426 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-axieth.tcl -rwxr-xr-x root/root 352 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-axiintc.tcl -rwxr-xr-x root/root 12204 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-eth.tcl -rwxr-xr-x root/root 2097 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-ip.tcl -rwxr-xr-x root/root 2590 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-pcie-rp.tcl -rwxr-xr-x root/root 17365 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-pcie.tcl -rwxr-xr-x root/root 2759 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-pll.tcl -rwxr-xr-x root/root 799 2017-09-12 16:37 ./usr/share/connectal/scripts/connectal-synth-zynq-mpsoc.tcl -rwxr-xr-x root/root 35346 2017-09-12 16:37 ./usr/share/connectal/scripts/cppgen.py -rwxr-xr-x root/root 29607 2017-09-12 16:37 ./usr/share/connectal/scripts/cppgen.pyc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/scripts/deprecated/ -rw-r--r-- root/root 2460 2017-09-12 16:37 ./usr/share/connectal/scripts/deprecated/mkpcietop-partial-reconfiguration.tcl -rw-r--r-- root/root 793 2017-09-12 16:37 ./usr/share/connectal/scripts/deprecated/mkpcietop-synth.tcl -rw-r--r-- root/root 855 2017-09-12 16:37 ./usr/share/connectal/scripts/deprecated/portaltop-impl.tcl -rw-r--r-- root/root 720 2017-09-12 16:37 ./usr/share/connectal/scripts/deprecated/portaltop-synth.tcl -rwxr-xr-x root/root 6444 2017-09-12 16:37 ./usr/share/connectal/scripts/discover_icmp.py -rwxr-xr-x root/root 6818 2017-09-12 16:37 ./usr/share/connectal/scripts/discover_tcp.py -rwxr-xr-x root/root 55 2017-09-12 16:37 ./usr/share/connectal/scripts/driver_signature.sed -rwxr-xr-x root/root 2381 2017-09-12 16:37 ./usr/share/connectal/scripts/extract-bvi-schedule.py -rwxr-xr-x root/root 5396 2017-09-12 16:37 ./usr/share/connectal/scripts/generate-constraints.py -rwxr-xr-x root/root 155 2017-09-12 16:37 ./usr/share/connectal/scripts/globalv.py -rwxr-xr-x root/root 426 2017-09-12 16:37 ./usr/share/connectal/scripts/globalv.pyc -rwxr-xr-x root/root 28194 2017-09-12 16:37 ./usr/share/connectal/scripts/makefilegen.py -rwxr-xr-x root/root 2641 2017-09-12 16:37 ./usr/share/connectal/scripts/packagesource.py -rwxr-xr-x root/root 2299 2017-09-12 16:37 ./usr/share/connectal/scripts/parse_qsf.py -rwxr-xr-x root/root 2170 2017-09-12 16:37 ./usr/share/connectal/scripts/parse_xdc.py -rwxr-xr-x root/root 4980 2017-09-12 16:37 ./usr/share/connectal/scripts/portal.py -rwxr-xr-x root/root 2283 2017-09-12 16:37 ./usr/share/connectal/scripts/portalJson.py -rwxr-xr-x root/root 2593 2017-09-12 16:37 ./usr/share/connectal/scripts/power.py -rwxr-xr-x root/root 4872 2017-09-12 16:37 ./usr/share/connectal/scripts/preprocess_trace.py -rwxr-xr-x root/root 1553 2017-09-12 16:37 ./usr/share/connectal/scripts/reorderbytes.py -rwxr-xr-x root/root 7441 2017-09-12 16:37 ./usr/share/connectal/scripts/run.android -rwxr-xr-x root/root 2496 2017-09-12 16:37 ./usr/share/connectal/scripts/run.android.sh -rwxr-xr-x root/root 1050 2017-09-12 16:37 ./usr/share/connectal/scripts/run.parallella.sh -rwxr-xr-x root/root 1706 2017-09-12 16:37 ./usr/share/connectal/scripts/run.pcietest -rwxr-xr-x root/root 840 2017-09-12 16:37 ./usr/share/connectal/scripts/run.pcietest.altera -rwxr-xr-x root/root 170 2017-09-12 16:37 ./usr/share/connectal/scripts/run_on_daffodil -rwxr-xr-x root/root 34898 2017-09-12 16:37 ./usr/share/connectal/scripts/syntax.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/scripts/syntax/ -rw-r--r-- root/root 2174573 2017-09-12 16:37 ./usr/share/connectal/scripts/syntax/parser.out -rw-r--r-- root/root 187192 2017-09-12 16:37 ./usr/share/connectal/scripts/syntax/parsetab.py -rw-r--r-- root/root 147085 2017-09-12 16:37 ./usr/share/connectal/scripts/syntax/parsetab.pyc -rwxr-xr-x root/root 19752 2017-09-12 16:37 ./usr/share/connectal/scripts/topgen.py -rwxr-xr-x root/root 2298 2017-09-12 16:37 ./usr/share/connectal/scripts/util.py -rwxr-xr-x root/root 2301 2017-09-12 16:37 ./usr/share/connectal/scripts/util.pyc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/adapter/ -rw-r--r-- root/root 182 2017-09-12 16:37 ./usr/share/connectal/tests/adapter/Makefile -rw-r--r-- root/root 1738 2017-09-12 16:37 ./usr/share/connectal/tests/adapter/Test.bsv -rw-r--r-- root/root 1725 2017-09-12 16:37 ./usr/share/connectal/tests/adapter/test.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/ -rw-r--r-- root/root 1952 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/Echo.orig.bsv -rw-r--r-- root/root 1266 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/EchoReq.bsv -rw-r--r-- root/root 662 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/ -rw-r--r-- root/root 1789 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/Echo.bsv -rw-r--r-- root/root 1663 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/EchoVerilog.v -rw-r--r-- root/root 445 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/L_class_OC_Echo.bsv -rw-r--r-- root/root 550 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/L_class_OC_Fifo.bsv -rw-r--r-- root/root 554 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/L_class_OC_Fifo1.bsv -rw-r--r-- root/root 653 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Echo.cpp -rw-r--r-- root/root 484 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Echo.h -rw-r--r-- root/root 1540 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Echo.v -rw-r--r-- root/root 249 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_EchoIndication.cpp -rw-r--r-- root/root 229 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_EchoIndication.h -rw-r--r-- root/root 159 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_EchoRequest.cpp -rw-r--r-- root/root 211 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_EchoRequest.h -rw-r--r-- root/root 33 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_EchoTest.cpp -rw-r--r-- root/root 277 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_EchoTest.h -rw-r--r-- root/root 383 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Fifo.cpp -rw-r--r-- root/root 302 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Fifo.h -rw-r--r-- root/root 802 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Fifo.v -rw-r--r-- root/root 473 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Fifo1.cpp -rw-r--r-- root/root 343 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Fifo1.h -rw-r--r-- root/root 1372 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/l_class_OC_Fifo1.v -rw-r--r-- root/root 602 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/output.cpp -rw-r--r-- root/root 708 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/generated/output.h -rw-r--r-- root/root 1914 2017-09-12 16:37 ./usr/share/connectal/tests/aecho/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/ -rw-r--r-- root/root 3549 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/AuroraCommon.bsv -rw-r--r-- root/root 4807 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/AuroraGearbox.bsv -rw-r--r-- root/root 7111 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/AuroraImportFmc1.bsv -rw-r--r-- root/root 6708 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/ChipscopeWrapper.bsv -rw-r--r-- root/root 5327 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/ControllerTypes.bsv -rw-r--r-- root/root 11533 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/FlashBusModel.bsv -rw-r--r-- root/root 4861 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/FlashCtrlModel.bsv -rw-r--r-- root/root 17110 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/FlashTop.bsv -rw-r--r-- root/root 2617 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/Makefile -rw-r--r-- root/root 11227 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/NandSimMod.bsv -rw-r--r-- root/root 264 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/NullResetN.bsv -rw-r--r-- root/root 8231 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/PageBuffers.bsv -rw-r--r-- root/root 5500 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/Top.bsv -rw-r--r-- root/root 1276 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/TopPins.bsv -rw-r--r-- root/root 13552 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/flashaccess.cpp -rw-r--r-- root/root 8023 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_flashmodel/test.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_nandsim_manual/ -rw-r--r-- root/root 555 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_nandsim_manual/Makefile -rw-r--r-- root/root 6370 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_nandsim_manual/algo1.cpp -rw-r--r-- root/root 64 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_nandsim_manual/haystack.txt drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_nandsim_manual/kernel/ -rw-r--r-- root/root 2347 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_nandsim_manual/kernel/Makefile -rw-r--r-- root/root 6881 2017-09-12 16:37 ./usr/share/connectal/tests/algo1_nandsim_manual/nandsim_manual.c drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/ -rw-r--r-- root/root 3317 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/AvalonBfmWrapper.bsv -rw-r--r-- root/root 4199 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/Echo.bsv -rw-r--r-- root/root 843 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/Makefile -rw-r--r-- root/root 1334 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/Readme.md -rw-r--r-- root/root 160 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/TestProgram.bsv -rw-r--r-- root/root 8006 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/avlm_avls_1x1.qsys -rw-r--r-- root/root 2174 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/verilog/ -rw-r--r-- root/root 620 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/verilog/tb.sv -rw-r--r-- root/root 16633 2017-09-12 16:37 ./usr/share/connectal/tests/avalon_mm/verilog/test_program.v drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/ -rw-r--r-- root/root 5426 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/AxiEth.bsv -rw-r--r-- root/root 297 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/EthPins.bsv -rw-r--r-- root/root 912 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/Makefile -rw-r--r-- root/root 524 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/axieth.h -rw-r--r-- root/root 299 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/axieth.json -rw-r--r-- root/root 81 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/axieth.xdc -rw-r--r-- root/root 3400 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/testaxieth.cpp -rw-r--r-- root/root 1331 2017-09-12 16:37 ./usr/share/connectal/tests/axieth/xsim_export.tcl drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck-bram/ -rw-r--r-- root/root 4956 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck-bram/Bram2Example.bsv -rw-r--r-- root/root 4644 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck-bram/BramExample.bsv -rw-r--r-- root/root 2557 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck-bram/make.sh drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck-sharedmemfifo/ -rw-r--r-- root/root 408 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck-sharedmemfifo/ConnectalProjectConfig.bsv -rw-r--r-- root/root 6306 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck-sharedmemfifo/SharedMemoryFifoCheck.bsv -rw-r--r-- root/root 2876 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck-sharedmemfifo/make.sh drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck_harness/ -rw-r--r-- root/root 553 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck_harness/Harness.bsv -rw-r--r-- root/root 278 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck_harness/Makefile -rw-r--r-- root/root 1431 2017-09-12 16:37 ./usr/share/connectal/tests/bluecheck_harness/harness.py drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/bpiflash/ -rw-r--r-- root/root 5471 2017-09-12 16:37 ./usr/share/connectal/tests/bpiflash/BpiFlashTest.bsv -rw-r--r-- root/root 1625 2017-09-12 16:37 ./usr/share/connectal/tests/bpiflash/I28F512P33.bsv -rw-r--r-- root/root 574 2017-09-12 16:37 ./usr/share/connectal/tests/bpiflash/Makefile -rw-r--r-- root/root 398 2017-09-12 16:37 ./usr/share/connectal/tests/bpiflash/bpiflash.h -rw-r--r-- root/root 2495 2017-09-12 16:37 ./usr/share/connectal/tests/bpiflash/bpiflash.json -rw-r--r-- root/root 330557 2017-09-12 16:37 ./usr/share/connectal/tests/bpiflash/i28f512p33.v -rw-r--r-- root/root 2313 2017-09-12 16:37 ./usr/share/connectal/tests/bpiflash/testbpiflash.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3/ -rw-r--r-- root/root 9768 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3/Ddr3Test.bsv -rw-r--r-- root/root 615 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3/Makefile -rw-r--r-- root/root 74 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3/synth-ip.tcl -rw-r--r-- root/root 3244 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3/testddr3.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3_altera/ -rw-r--r-- root/root 7570 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3_altera/Ddr3Test.bsv -rw-r--r-- root/root 832 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3_altera/Makefile -rw-r--r-- root/root 26345 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3_altera/de5.json -rw-r--r-- root/root 60 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3_altera/synth-ip.tcl -rw-r--r-- root/root 2290 2017-09-12 16:37 ./usr/share/connectal/tests/ddr3_altera/testddr3.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/dma2bram/ -rw-r--r-- root/root 220 2017-09-12 16:37 ./usr/share/connectal/tests/dma2bram/Makefile -rw-r--r-- root/root 2233 2017-09-12 16:37 ./usr/share/connectal/tests/dma2bram/Test.bsv -rw-r--r-- root/root 2134 2017-09-12 16:37 ./usr/share/connectal/tests/dma2bram/test.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/echosoft2/ -rw-r--r-- root/root 2537 2017-09-12 16:37 ./usr/share/connectal/tests/echosoft2/EchoId.bsv -rw-r--r-- root/root 365 2017-09-12 16:37 ./usr/share/connectal/tests/echosoft2/Makefile -rw-r--r-- root/root 3760 2017-09-12 16:37 ./usr/share/connectal/tests/echosoft2/daemon.cpp -rw-r--r-- root/root 5679 2017-09-12 16:37 ./usr/share/connectal/tests/echosoft2/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/fastecho/ -rw-r--r-- root/root 5137 2017-09-12 16:37 ./usr/share/connectal/tests/fastecho/FastEcho.bsv -rw-r--r-- root/root 709 2017-09-12 16:37 ./usr/share/connectal/tests/fastecho/Makefile -rw-r--r-- root/root 247 2017-09-12 16:37 ./usr/share/connectal/tests/fastecho/about_this_test.txt -rw-r--r-- root/root 823 2017-09-12 16:37 ./usr/share/connectal/tests/fastecho/synth-ip.tcl -rw-r--r-- root/root 3078 2017-09-12 16:37 ./usr/share/connectal/tests/fastecho/testfastecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/float/ -rw-r--r-- root/root 1765 2017-09-12 16:37 ./usr/share/connectal/tests/float/FloatTest.bsv -rw-r--r-- root/root 438 2017-09-12 16:37 ./usr/share/connectal/tests/float/Makefile -rw-r--r-- root/root 771 2017-09-12 16:37 ./usr/share/connectal/tests/float/ftest.c drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/fp/ -rw-r--r-- root/root 1235 2017-09-12 16:37 ./usr/share/connectal/tests/fp/BviFpAdd.bsv -rw-r--r-- root/root 2043 2017-09-12 16:37 ./usr/share/connectal/tests/fp/FpOps.bsv -rw-r--r-- root/root 1784 2017-09-12 16:37 ./usr/share/connectal/tests/fp/FpTest.bsv -rw-r--r-- root/root 901 2017-09-12 16:37 ./usr/share/connectal/tests/fp/Makefile -rw-r--r-- root/root 397 2017-09-12 16:37 ./usr/share/connectal/tests/fp/synth-ip.tcl -rw-r--r-- root/root 1808 2017-09-12 16:37 ./usr/share/connectal/tests/fp/testfp.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/guard/ -rw-r--r-- root/root 2154 2017-09-12 16:37 ./usr/share/connectal/tests/guard/GuardTest.bsv -rw-r--r-- root/root 416 2017-09-12 16:37 ./usr/share/connectal/tests/guard/Makefile -rw-r--r-- root/root 49 2017-09-12 16:37 ./usr/share/connectal/tests/guard/gtest.c drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/ipcperf/ -rw-r--r-- root/root 2274 2017-09-12 16:37 ./usr/share/connectal/tests/ipcperf/IpcTest.bsv -rw-r--r-- root/root 330 2017-09-12 16:37 ./usr/share/connectal/tests/ipcperf/Makefile -rw-r--r-- root/root 4602 2017-09-12 16:37 ./usr/share/connectal/tests/ipcperf/testipctest.cpp -rw-r--r-- root/root 1377 2017-09-12 16:37 ./usr/share/connectal/tests/ipcperf/vc707_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memcpy_manysglists/ -rw-r--r-- root/root 218 2017-09-12 16:37 ./usr/share/connectal/tests/memcpy_manysglists/Makefile -rw-r--r-- root/root 4956 2017-09-12 16:37 ./usr/share/connectal/tests/memcpy_manysglists/Top.bsv -rw-r--r-- root/root 4255 2017-09-12 16:37 ./usr/share/connectal/tests/memcpy_manysglists/testmemcpy.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memread_err/ -rw-r--r-- root/root 259 2017-09-12 16:37 ./usr/share/connectal/tests/memread_err/Makefile -rw-r--r-- root/root 3082 2017-09-12 16:37 ./usr/share/connectal/tests/memread_err/Memread.bsv -rw-r--r-- root/root 4984 2017-09-12 16:37 ./usr/share/connectal/tests/memread_err/testmemread.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manual/ -rw-r--r-- root/root 322 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manual/Makefile -rw-r--r-- root/root 3701 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manual/ReadTest.bsv -rw-r--r-- root/root 7757 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manual/design_vc707.tcl drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manual/kernel/ -rw-r--r-- root/root 2201 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manual/kernel/Makefile -rw-r--r-- root/root 6273 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manual/memread_manual_manager.c -rw-r--r-- root/root 811 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manual/vc707_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manyclients/ -rw-r--r-- root/root 419 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manyclients/Makefile -rw-r--r-- root/root 510 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manyclients/performance.txt drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manyclients128/ -rw-r--r-- root/root 432 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manyclients128/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manyengines/ -rw-r--r-- root/root 417 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manyengines/Makefile -rw-r--r-- root/root 5248 2017-09-12 16:37 ./usr/share/connectal/tests/memread_manyengines/ReadTest.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_copy/ -rw-r--r-- root/root 377 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_copy/Makefile -rw-r--r-- root/root 4759 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_copy/Memcopy.bsv -rw-r--r-- root/root 3467 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_copy/testmemcopy.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_copy128/ -rw-r--r-- root/root 397 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_copy128/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_copy_slow/ -rw-r--r-- root/root 75 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_copy_slow/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_write/ -rw-r--r-- root/root 319 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_write/Makefile -rw-r--r-- root/root 5372 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_write/Memwrite.bsv -rw-r--r-- root/root 4468 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_write/testmemwrite.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_write128/ -rw-r--r-- root/root 386 2017-09-12 16:37 ./usr/share/connectal/tests/memserver_write128/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memtopcie_bluesim/ -rw-r--r-- root/root 403 2017-09-12 16:37 ./usr/share/connectal/tests/memtopcie_bluesim/Makefile -rw-r--r-- root/root 7446 2017-09-12 16:37 ./usr/share/connectal/tests/memtopcie_bluesim/Top.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_acp/ -rw-r--r-- root/root 284 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_acp/Makefile -rw-r--r-- root/root 2978 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_acp/Memwrite.bsv -rw-r--r-- root/root 2693 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_acp/testmemwrite.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_manyclients/ -rw-r--r-- root/root 467 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_manyclients/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_manyclients128/ -rw-r--r-- root/root 486 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_manyclients128/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_trivial/ -rw-r--r-- root/root 255 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_trivial/Makefile -rw-r--r-- root/root 2971 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_trivial/Memwrite.bsv -rw-r--r-- root/root 2690 2017-09-12 16:37 ./usr/share/connectal/tests/memwrite_trivial/testmemwrite.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/memwriteengine_test/ -rw-r--r-- root/root 257 2017-09-12 16:37 ./usr/share/connectal/tests/memwriteengine_test/Makefile -rw-r--r-- root/root 4067 2017-09-12 16:37 ./usr/share/connectal/tests/memwriteengine_test/MemWriteEngineTest.bsv -rw-r--r-- root/root 3565 2017-09-12 16:37 ./usr/share/connectal/tests/memwriteengine_test/Memwrite.bsv -rw-r--r-- root/root 2881 2017-09-12 16:37 ./usr/share/connectal/tests/memwriteengine_test/testmemwrite.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/method/ -rw-r--r-- root/root 154 2017-09-12 16:37 ./usr/share/connectal/tests/method/Makefile -rw-r--r-- root/root 2433 2017-09-12 16:37 ./usr/share/connectal/tests/method/Method.bsv -rw-r--r-- root/root 49 2017-09-12 16:37 ./usr/share/connectal/tests/method/mtest.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/mifo/ -rw-r--r-- root/root 206 2017-09-12 16:37 ./usr/share/connectal/tests/mifo/Makefile -rw-r--r-- root/root 3681 2017-09-12 16:37 ./usr/share/connectal/tests/mifo/MifoTest.bsv -rw-r--r-- root/root 4145 2017-09-12 16:37 ./usr/share/connectal/tests/mifo/testmifo.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/nandsim_manual/ -rw-r--r-- root/root 391 2017-09-12 16:37 ./usr/share/connectal/tests/nandsim_manual/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/nandsim_manual/kernel/ -rw-r--r-- root/root 2175 2017-09-12 16:37 ./usr/share/connectal/tests/nandsim_manual/kernel/Makefile -rw-r--r-- root/root 8717 2017-09-12 16:37 ./usr/share/connectal/tests/nandsim_manual/nandsim_manual.c -rw-r--r-- root/root 3836 2017-09-12 16:37 ./usr/share/connectal/tests/nandsim_manual/testnandsim.cpp -rw-r--r-- root/root 4454 2017-09-12 16:37 ./usr/share/connectal/tests/nandsim_manual/testnandsim_test.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_core/ -rw-r--r-- root/root 3125 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_core/string_search.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/ -rw-r--r-- root/root 1581 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/Makefile -rw-r--r-- root/root 9801 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/NvmeSearch.bsv -rw-r--r-- root/root 1396 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/StringSearchIfc.bsv -rw-r--r-- root/root 234 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/fmc.json -rw-r--r-- root/root 4288 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/main.cpp -rw-r--r-- root/root 234 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/nfsume.json -rw-r--r-- root/root 167 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/nvme.json -rw-r--r-- root/root 665 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/nvme.xdc -rw-r--r-- root/root 18801 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/package100.tcl -rw-r--r-- root/root 4939 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_strstr/synth-ip.tcl drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/ -rw-r--r-- root/root 1815 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/Makefile -rw-r--r-- root/root 4183 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/NvmeTest.bsv -rw-r--r-- root/root 234 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/fmc.json -rw-r--r-- root/root 300 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/impl.tcl -rw-r--r-- root/root 2967 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/main.cpp -rw-r--r-- root/root 167 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/miniitx100.json -rw-r--r-- root/root 234 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/nfsume.json -rw-r--r-- root/root 457 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/nfsume.xdc -rw-r--r-- root/root 1575 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/nvme.xdc -rw-r--r-- root/root 5715 2017-09-12 16:37 ./usr/share/connectal/tests/nvme_test/synth-ip.tcl drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/ov7670/ -rw-r--r-- root/root 429 2017-09-12 16:37 ./usr/share/connectal/tests/ov7670/Makefile -rw-r--r-- root/root 6811 2017-09-12 16:37 ./usr/share/connectal/tests/ov7670/Ov7670Controller.bsv -rw-r--r-- root/root 2127 2017-09-12 16:37 ./usr/share/connectal/tests/ov7670/Ov7670Interface.bsv -rw-r--r-- root/root 13864 2017-09-12 16:37 ./usr/share/connectal/tests/ov7670/SCCB.bsv -rw-r--r-- root/root 1470 2017-09-12 16:37 ./usr/share/connectal/tests/ov7670/pinout.json -rw-r--r-- root/root 4449 2017-09-12 16:37 ./usr/share/connectal/tests/ov7670/testcam.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/partial/ -rw-r--r-- root/root 1406 2017-09-12 16:37 ./usr/share/connectal/tests/partial/Bounce.bsv -rw-r--r-- root/root 1487 2017-09-12 16:37 ./usr/share/connectal/tests/partial/Bounce1.bsv -rw-r--r-- root/root 1859 2017-09-12 16:37 ./usr/share/connectal/tests/partial/Bounce2.bsv -rw-r--r-- root/root 1866 2017-09-12 16:37 ./usr/share/connectal/tests/partial/Bounce3.bsv -rw-r--r-- root/root 2219 2017-09-12 16:37 ./usr/share/connectal/tests/partial/Echo.bsv -rw-r--r-- root/root 398 2017-09-12 16:37 ./usr/share/connectal/tests/partial/Makefile -rw-r--r-- root/root 301 2017-09-12 16:37 ./usr/share/connectal/tests/partial/README -rw-r--r-- root/root 358 2017-09-12 16:37 ./usr/share/connectal/tests/partial/floorplan-zc702.xdc -rw-r--r-- root/root 2766 2017-09-12 16:37 ./usr/share/connectal/tests/partial/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/physmaster/ -rw-r--r-- root/root 1446 2017-09-12 16:37 ./usr/share/connectal/tests/physmaster/Echo.bsv -rw-r--r-- root/root 350 2017-09-12 16:37 ./usr/share/connectal/tests/physmaster/Makefile -rw-r--r-- root/root 1910 2017-09-12 16:37 ./usr/share/connectal/tests/physmaster/PhysReq.bsv -rw-r--r-- root/root 2582 2017-09-12 16:37 ./usr/share/connectal/tests/physmaster/daemon.cpp -rw-r--r-- root/root 2217 2017-09-12 16:37 ./usr/share/connectal/tests/physmaster/testecho.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/ -rw-r--r-- root/root 458 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/AccelIfcNames.bsv -rw-r--r-- root/root 6063 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/AccelTop.bsv -rw-r--r-- root/root 3045 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/BlockDev.bsv -rw-r--r-- root/root 984 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/Devices.bsv -rw-r--r-- root/root 1383 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/Makefile -rw-r--r-- root/root 1532 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/QemuAccel.bsv -rw-r--r-- root/root 1352 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/QemuAccelIfc.bsv -rw-r--r-- root/root 940 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/Serial.bsv -rw-r--r-- root/root 57 2017-09-12 16:37 ./usr/share/connectal/tests/qemuaccel/qemuaccel.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/ -rw-r--r-- root/root 15761 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/AxiPcieRootPort.bsv -rw-r--r-- root/root 813 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/Makefile -rw-r--r-- root/root 14383 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/RootPort.bsv -rw-r--r-- root/root 2091 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/RootPortIfc.bsv -rw-r--r-- root/root 1405 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/RootPortPins.bsv -rw-r--r-- root/root 3319 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/gencores.tcl -rw-r--r-- root/root 17350 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/rootport.cpp -rw-r--r-- root/root 167 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/rootport.json -rw-r--r-- root/root 72 2017-09-12 16:37 ./usr/share/connectal/tests/rootport/rootport.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/serialportal/ -rw-r--r-- root/root 675 2017-09-12 16:37 ./usr/share/connectal/tests/serialportal/Makefile -rw-r--r-- root/root 1526 2017-09-12 16:37 ./usr/share/connectal/tests/serialportal/SerialPortalIfc.bsv -rw-r--r-- root/root 4484 2017-09-12 16:37 ./usr/share/connectal/tests/serialportal/SerialPortalTest.bsv -rw-r--r-- root/root 87 2017-09-12 16:37 ./usr/share/connectal/tests/serialportal/rs232.json -rw-r--r-- root/root 5452 2017-09-12 16:37 ./usr/share/connectal/tests/serialportal/serialportal.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/simmethodtime/ -rw-r--r-- root/root 208 2017-09-12 16:37 ./usr/share/connectal/tests/simmethodtime/Makefile -rw-r--r-- root/root 1643 2017-09-12 16:37 ./usr/share/connectal/tests/simmethodtime/Simm.bsv -rw-r--r-- root/root 2876 2017-09-12 16:37 ./usr/share/connectal/tests/simmethodtime/test.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/simple_manual/ -rw-r--r-- root/root 283 2017-09-12 16:37 ./usr/share/connectal/tests/simple_manual/Makefile -rw-r--r-- root/root 1627 2017-09-12 16:37 ./usr/share/connectal/tests/simple_manual/Simple.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/simple_manual/kernel/ -rw-r--r-- root/root 2384 2017-09-12 16:37 ./usr/share/connectal/tests/simple_manual/kernel/Makefile -rw-r--r-- root/root 2638 2017-09-12 16:37 ./usr/share/connectal/tests/simple_manual/simple_manual.c -rw-r--r-- root/root 2028 2017-09-12 16:37 ./usr/share/connectal/tests/simple_manual/testsimple.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/spi/ -rw-r--r-- root/root 409 2017-09-12 16:37 ./usr/share/connectal/tests/spi/ConnectalProjectConfig.bsv -rw-r--r-- root/root 484 2017-09-12 16:37 ./usr/share/connectal/tests/spi/Makefile -rw-r--r-- root/root 610 2017-09-12 16:37 ./usr/share/connectal/tests/spi/spitest.gtkw drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/ -rw-r--r-- root/root 20823 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/AxiEthBufferBvi.bsv -rw-r--r-- root/root 17991 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/AxiEthSubsystem.bsv -rw-r--r-- root/root 5486 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/AxiIic.bsv -rw-r--r-- root/root 6146 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/AxiSpiBvi.bsv -rw-r--r-- root/root 6171 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/AxiUart.bsv -rw-r--r-- root/root 9111 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/GigEthPcsPmaBvi.bsv -rw-r--r-- root/root 3338 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/Makefile -rw-r--r-- root/root 5339 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/README.md -rw-r--r-- root/root 14808 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/SpikeHw.bsv -rw-r--r-- root/root 1793 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/SpikeHwIfc.bsv -rw-r--r-- root/root 1473 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/SpikeHwPins.bsv -rw-r--r-- root/root 4180 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/SyncAxisFifo32x1024.bsv -rw-r--r-- root/root 13645 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/TriModeMacBvi.bsv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/boot/ -rw-r--r-- root/root 1748 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/boot/Makefile -rw-r--r-- root/root 332 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/boot/copybbl.c -rw-r--r-- root/root 91 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/boot/entry.S -rw-r--r-- root/root 147456 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/bootromx4.hex -rw-r--r-- root/root 179 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/eth.json -rw-r--r-- root/root 2551 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/flash.json -rw-r--r-- root/root 7276 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/gencores.tcl -rw-r--r-- root/root 16610 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/geneth.tcl -rw-r--r-- root/root 141 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/i2c-standard.json -rw-r--r-- root/root 1470 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/nfsume.json -rw-r--r-- root/root 354 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/program.tcl -rw-r--r-- root/root 85 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/rtscts.json -rw-r--r-- root/root 373 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/spikehw-miniitx100.json -rw-r--r-- root/root 121 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/spikehw-vc707g2.json -rw-r--r-- root/root 123 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/spikehw-vc709.json -rw-r--r-- root/root 11401 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/spikehw.cpp -rw-r--r-- root/root 961 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/spikehw.h -rw-r--r-- root/root 3027 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/spikehw.json -rw-r--r-- root/root 81 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/spikehw.xdc -rw-r--r-- root/root 4096 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/test-spikehw.cpp -rw-r--r-- root/root 1296 2017-09-12 16:37 ./usr/share/connectal/tests/spikehw/trace.tcl drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/test_pmod/ -rw-r--r-- root/root 2222 2017-09-12 16:37 ./usr/share/connectal/tests/test_pmod/Controller.bsv -rw-r--r-- root/root 670 2017-09-12 16:37 ./usr/share/connectal/tests/test_pmod/Makefile -rw-r--r-- root/root 2095 2017-09-12 16:37 ./usr/share/connectal/tests/test_pmod/Top.bsv -rw-r--r-- root/root 552 2017-09-12 16:37 ./usr/share/connectal/tests/test_pmod/pinout.json -rw-r--r-- root/root 785 2017-09-12 16:37 ./usr/share/connectal/tests/test_pmod/testpmod.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/test_sdio1/ -rw-r--r-- root/root 310 2017-09-12 16:37 ./usr/share/connectal/tests/test_sdio1/Makefile -rw-r--r-- root/root 3989 2017-09-12 16:37 ./usr/share/connectal/tests/test_sdio1/SDIO.bsv -rw-r--r-- root/root 2291 2017-09-12 16:37 ./usr/share/connectal/tests/test_sdio1/Top.bsv -rw-r--r-- root/root 1143 2017-09-12 16:37 ./usr/share/connectal/tests/test_sdio1/pinout.json -rw-r--r-- root/root 2480 2017-09-12 16:37 ./usr/share/connectal/tests/test_sdio1/test_sdio1.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/test_spi0/ -rw-r--r-- root/root 204 2017-09-12 16:37 ./usr/share/connectal/tests/test_spi0/Makefile -rw-r--r-- root/root 5219 2017-09-12 16:37 ./usr/share/connectal/tests/test_spi0/SPI.bsv -rw-r--r-- root/root 2053 2017-09-12 16:37 ./usr/share/connectal/tests/test_spi0/Top.bsv -rw-r--r-- root/root 23824 2017-09-12 16:37 ./usr/share/connectal/tests/test_spi0/foo.cpp -rw-r--r-- root/root 3984 2017-09-12 16:37 ./usr/share/connectal/tests/test_spi0/test_spi0.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testfpmul/ -rw-r--r-- root/root 312 2017-09-12 16:37 ./usr/share/connectal/tests/testfpmul/Makefile -rw-r--r-- root/root 1916 2017-09-12 16:37 ./usr/share/connectal/tests/testfpmul/Top.bsv -rw-r--r-- root/root 2343 2017-09-12 16:37 ./usr/share/connectal/tests/testfpmul/testfpmul.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testldstrex/ -rw-r--r-- root/root 283 2017-09-12 16:37 ./usr/share/connectal/tests/testldstrex/Makefile -rw-r--r-- root/root 1964 2017-09-12 16:37 ./usr/share/connectal/tests/testldstrex/testldstrex.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm16.16.2/ -rw-r--r-- root/root 365 2017-09-12 16:37 ./usr/share/connectal/tests/testmm16.16.2/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm16.16.4/ -rw-r--r-- root/root 386 2017-09-12 16:37 ./usr/share/connectal/tests/testmm16.16.4/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm2.4.2/ -rw-r--r-- root/root 363 2017-09-12 16:37 ./usr/share/connectal/tests/testmm2.4.2/Makefile -rw-r--r-- root/root 874 2017-09-12 16:37 ./usr/share/connectal/tests/testmm2.4.2/zc706_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm32.16.2/ -rw-r--r-- root/root 364 2017-09-12 16:37 ./usr/share/connectal/tests/testmm32.16.2/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm32.32.2/ -rw-r--r-- root/root 365 2017-09-12 16:37 ./usr/share/connectal/tests/testmm32.32.2/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm4.2.2/ -rw-r--r-- root/root 363 2017-09-12 16:37 ./usr/share/connectal/tests/testmm4.2.2/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm4.4.2/ -rw-r--r-- root/root 364 2017-09-12 16:37 ./usr/share/connectal/tests/testmm4.4.2/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm4.4.4/ -rw-r--r-- root/root 383 2017-09-12 16:37 ./usr/share/connectal/tests/testmm4.4.4/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm8.8.2/ -rw-r--r-- root/root 363 2017-09-12 16:37 ./usr/share/connectal/tests/testmm8.8.2/Makefile -rw-r--r-- root/root 1108 2017-09-12 16:37 ./usr/share/connectal/tests/testmm8.8.2/zc706_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm8.8.4/ -rw-r--r-- root/root 383 2017-09-12 16:37 ./usr/share/connectal/tests/testmm8.8.4/Makefile drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/ -rw-r--r-- root/root 437 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/Makefile -rw-r--r-- root/root 503 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/Readme.md drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/cuda_opencv_example/ -rw-r--r-- root/root 646 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/cuda_opencv_example/Makefile -rw-r--r-- root/root 94118 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/cuda_opencv_example/image.jpg -rw-r--r-- root/root 3068 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/cuda_opencv_example/main.cpp -rw-r--r-- root/root 5931 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/cuda_opencv_example/main.cu -rw-r--r-- root/root 78 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/run_exe -rw-r--r-- root/root 401 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/synth-ip.tcl -rw-r--r-- root/root 874 2017-09-12 16:37 ./usr/share/connectal/tests/testmm_cuda_perf/zc706_floorplan.xdc drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testrbm16.16.2/ -rw-r--r-- root/root 387 2017-09-12 16:37 ./usr/share/connectal/tests/testrbm16.16.2/Makefile -rw-r--r-- root/root 401 2017-09-12 16:37 ./usr/share/connectal/tests/testrbm16.16.2/synth-ip.tcl drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/testrbm8.8.2/ -rw-r--r-- root/root 384 2017-09-12 16:37 ./usr/share/connectal/tests/testrbm8.8.2/Makefile -rw-r--r-- root/root 401 2017-09-12 16:37 ./usr/share/connectal/tests/testrbm8.8.2/synth-ip.tcl drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/tests/yuv/ -rw-r--r-- root/root 187 2017-09-12 16:37 ./usr/share/connectal/tests/yuv/Makefile -rw-r--r-- root/root 4285 2017-09-12 16:37 ./usr/share/connectal/tests/yuv/YuvIF.bsv -rw-r--r-- root/root 3768 2017-09-12 16:37 ./usr/share/connectal/tests/yuv/testyuv.cpp drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/verilog/ -rw-r--r-- root/root 1214 2017-09-12 16:37 ./usr/share/connectal/verilog/CONNECTNET.v -rw-r--r-- root/root 1274 2017-09-12 16:37 ./usr/share/connectal/verilog/CONNECTNET2.v -rw-r--r-- root/root 2339 2017-09-12 16:37 ./usr/share/connectal/verilog/FpgaReset.v -rw-r--r-- root/root 1358 2017-09-12 16:37 ./usr/share/connectal/verilog/GenBIBUF.v -rw-r--r-- root/root 1981 2017-09-12 16:37 ./usr/share/connectal/verilog/LinkInverter.v -rw-r--r-- root/root 2850 2017-09-12 16:37 ./usr/share/connectal/verilog/PositiveReset.v -rw-r--r-- root/root 1813 2017-09-12 16:37 ./usr/share/connectal/verilog/PutInverter.v -rw-r--r-- root/root 13172 2017-09-12 16:37 ./usr/share/connectal/verilog/SyncFIFO.v -rw-r--r-- root/root 5633 2017-09-12 16:37 ./usr/share/connectal/verilog/SyncFIFO1.v -rw-r--r-- root/root 2988 2017-09-12 16:37 ./usr/share/connectal/verilog/SyncReset.v -rw-r--r-- root/root 3780 2017-09-12 16:37 ./usr/share/connectal/verilog/XsimDmaReadWrite.sv -rw-r--r-- root/root 1310 2017-09-12 16:37 ./usr/share/connectal/verilog/XsimFinish.sv -rw-r--r-- root/root 4879 2017-09-12 16:37 ./usr/share/connectal/verilog/XsimLink.sv -rw-r--r-- root/root 2017 2017-09-12 16:37 ./usr/share/connectal/verilog/XsimSink.sv -rw-r--r-- root/root 1623 2017-09-12 16:37 ./usr/share/connectal/verilog/XsimSource.sv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/verilog/altera/ -rw-r--r-- root/root 5958 2017-09-12 16:37 ./usr/share/connectal/verilog/altera/BRAM1.v -rw-r--r-- root/root 4336 2017-09-12 16:37 ./usr/share/connectal/verilog/altera/BRAM1BE.v -rw-r--r-- root/root 3660 2017-09-12 16:37 ./usr/share/connectal/verilog/altera/BRAM2.v drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/connectal/verilog/altera/siv_gen2x8/ -rw-r--r-- root/root 43333 2017-09-12 16:37 ./usr/share/connectal/verilog/altera/siv_gen2x8/siv_gen2x8.v -rw-r--r-- root/root 3046 2017-09-12 16:37 ./usr/share/connectal/verilog/xsimtop.sv drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/doc/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/doc/connectal/ -rw-r--r-- root/root 2718 2017-09-12 16:25 ./usr/share/doc/connectal/README.md.gz -rw-r--r-- root/root 3067 2017-09-12 16:37 ./usr/share/doc/connectal/changelog.Debian.gz -rw-r--r-- root/root 1264 2017-09-12 16:25 ./usr/share/doc/connectal/copyright drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/src/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/src/connectal-17.09.1/ -rw-r--r-- root/root 2154 2017-09-12 16:37 ./usr/src/connectal-17.09.1/DmaBuffer.h -rw-r--r-- root/root 12810 2017-09-12 16:37 ./usr/src/connectal-17.09.1/GeneratedTypes.h -rw-r--r-- root/root 8870 2017-09-12 16:37 ./usr/src/connectal-17.09.1/MMURequest.c -rw-r--r-- root/root 3741 2017-09-12 16:37 ./usr/src/connectal-17.09.1/MMUServer.h -rw-r--r-- root/root 97 2017-09-12 16:37 ./usr/src/connectal-17.09.1/Makefile -rw-r--r-- root/root 1223 2017-09-12 16:37 ./usr/src/connectal-17.09.1/XsimTop.h -rw-r--r-- root/root 3430 2017-09-12 16:37 ./usr/src/connectal-17.09.1/bsim_relay.c -rw-r--r-- root/root 212 2017-09-12 16:37 ./usr/src/connectal-17.09.1/dkms.conf -rw-r--r-- root/root 4689 2017-09-12 16:37 ./usr/src/connectal-17.09.1/dmaManager.c -rw-r--r-- root/root 3247 2017-09-12 16:37 ./usr/src/connectal-17.09.1/dmaManager.h -rw-r--r-- root/root 4706 2017-09-12 16:37 ./usr/src/connectal-17.09.1/dmaSendFd.h -rw-r--r-- root/root 3772 2017-09-12 16:37 ./usr/src/connectal-17.09.1/kernel_module.c -rw-r--r-- root/root 2328 2017-09-12 16:37 ./usr/src/connectal-17.09.1/manualMMUIndication.h -rw-r--r-- root/root 3037 2017-09-12 16:37 ./usr/src/connectal-17.09.1/monkit.h -rw-r--r-- root/root 35530 2017-09-12 16:37 ./usr/src/connectal-17.09.1/pcieportal.c -rw-r--r-- root/root 3761 2017-09-12 16:37 ./usr/src/connectal-17.09.1/pcieportal.h -rw-r--r-- root/root 108 2017-09-12 16:37 ./usr/src/connectal-17.09.1/pcieportal_signature_file.h -rw-r--r-- root/root 17362 2017-09-12 16:37 ./usr/src/connectal-17.09.1/portal.c -rw-r--r-- root/root 15554 2017-09-12 16:37 ./usr/src/connectal-17.09.1/portal.h -rw-r--r-- root/root 9127 2017-09-12 16:37 ./usr/src/connectal-17.09.1/portalJson.c -rw-r--r-- root/root 3420 2017-09-12 16:37 ./usr/src/connectal-17.09.1/portalKernel.h -rw-r--r-- root/root 1369 2017-09-12 16:37 ./usr/src/connectal-17.09.1/portalPrintf.c -rw-r--r-- root/root 23900 2017-09-12 16:37 ./usr/src/connectal-17.09.1/portalmem.c -rw-r--r-- root/root 2637 2017-09-12 16:37 ./usr/src/connectal-17.09.1/portalmem.h -rw-r--r-- root/root 53 2017-09-12 16:37 ./usr/src/connectal-17.09.1/portalmem_signature_file.h -rw-r--r-- root/root 8165 2017-09-12 16:37 ./usr/src/connectal-17.09.1/sock_utils.c -rw-r--r-- root/root 2284 2017-09-12 16:37 ./usr/src/connectal-17.09.1/sock_utils.h -rw-r--r-- root/root 3021 2017-09-12 16:37 ./usr/src/connectal-17.09.1/timer.c -rw-r--r-- root/root 7527 2017-09-12 16:37 ./usr/src/connectal-17.09.1/transportHardware.c -rw-r--r-- root/root 7439 2017-09-12 16:37 ./usr/src/connectal-17.09.1/transportSerial.c -rw-r--r-- root/root 7633 2017-09-12 16:37 ./usr/src/connectal-17.09.1/transportShared.c -rw-r--r-- root/root 12185 2017-09-12 16:37 ./usr/src/connectal-17.09.1/transportSocket.c -rw-r--r-- root/root 9627 2017-09-12 16:37 ./usr/src/connectal-17.09.1/transportWebSocket.c -rw-r--r-- root/root 5020 2017-09-12 16:37 ./usr/src/connectal-17.09.1/transportXsim.c connectal-doc_17.09.1-1precise1_all.deb --------------------------------------- new debian package, version 2.0. size 4946 bytes: control archive=454 bytes. 309 bytes, 11 lines control 154 bytes, 2 lines md5sums Package: connectal-doc Source: connectal Version: 17.09.1-1precise1 Architecture: all Maintainer: Jamey Hicks Installed-Size: 29 Section: doc Priority: extra Homepage: https://github.com/cambridgehackers/connectal Description: documentation for connectal More of the same description drwxr-xr-x root/root 0 2017-09-12 16:37 ./ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/doc/ drwxr-xr-x root/root 0 2017-09-12 16:37 ./usr/share/doc/connectal-doc/ -rw-r--r-- root/root 3067 2017-09-12 16:37 ./usr/share/doc/connectal-doc/changelog.Debian.gz -rw-r--r-- root/root 1264 2017-09-12 16:25 ./usr/share/doc/connectal-doc/copyright +------------------------------------------------------------------------------+ | Post Build | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup | +------------------------------------------------------------------------------+ Purging /<> Not removing build depends: as requested +------------------------------------------------------------------------------+ | Summary | +------------------------------------------------------------------------------+ Build Architecture: amd64 Build-Space: 51300 Build-Time: 20 Distribution: precise Host Architecture: amd64 Install-Time: 11 Job: connectal_17.09.1-1precise1.dsc Machine Architecture: amd64 Package: connectal Package-Time: 34 Source-Version: 17.09.1-1precise1 Space: 51300 Status: successful Version: 17.09.1-1precise1 -------------------------------------------------------------------------------- Finished at 20170912-1637 Build needed 00:00:34, 51300k disc space RUN: /usr/share/launchpad-buildd/slavebin/in-target scan-for-processes --backend=chroot --series=precise --arch=amd64 PACKAGEBUILD-13367011 Scanning for processes to kill in build PACKAGEBUILD-13367011 RUN: /usr/share/launchpad-buildd/slavebin/in-target umount-chroot --backend=chroot --series=precise --arch=amd64 PACKAGEBUILD-13367011 Stopping target for build PACKAGEBUILD-13367011 RUN: /usr/share/launchpad-buildd/slavebin/in-target remove-build --backend=chroot --series=precise --arch=amd64 PACKAGEBUILD-13367011 Removing build PACKAGEBUILD-13367011