Not Operator Support for Templates

Registered by Alexey Weyl

Adding support of the "not" operator to the templates language in addition to "and" and "or"

Blueprint information

Status:
Complete
Approver:
None
Priority:
Undefined
Drafter:
Alexey Weyl
Direction:
Needs approval
Assignee:
Alexey Weyl
Definition:
Approved
Series goal:
None
Implementation:
Implemented
Milestone target:
None
Started by
Alexey Weyl
Completed by
Alexey Weyl

Related branches

Sprints

Whiteboard

(?)

Work Items

This blueprint contains Public information 
Everyone can see this information.

Subscribers

No subscribers.